Project

General

Profile

« Previous | Next » 

Revision 1406

Added by markw over 1 year ago

Improve phi timing. Try to improve RDY timing.

View differences:

sallymax.vhd
signal CPU_NMI_N : std_logic;
signal CPU_IRQ_N : std_logic;
signal CPU_RDY : std_logic;
signal BUS_ADDR : std_logic_vector(15 downto 0);
signal BUS_ADDR_OE : std_logic;
signal BUS_DATA : std_logic_vector(7 downto 0);
......
CPU_REQUEST_COMPLETE => CPU_REQUEST_COMPLETE,
CPU_NMI_N => CPU_NMI_N,
CPU_IRQ_N => CPU_IRQ_N,
CPU_RDY => CPU_RDY,
-- bus side
BUS_DATA_IN => D,
......
BUS_DATA_OUT => BUS_DATA,
BUS_DATA_OE => BUS_DATA_OE,
BUS_WRITE_N => BUS_WRITE_N,
BUS_WRITE_OE => BUS_WRITE_OE
BUS_WRITE_OE => BUS_WRITE_OE,
BUS_RDY => RDY
);
cpu6502 : entity work.cpu
......
NMI_n => CPU_NMI_N,
MEMORY_READY => CPU_REQUEST_COMPLETE,
THROTTLE => CPU_REQUEST,
RDY => RDY,
RDY => CPU_RDY,
DI => CPU_READ_DATA,
R_W_n => CPU_WRITE_N,
CPU_FETCH => open,

Also available in: Unified diff