repo2/atari_chips/gtia/osc_in.cmp @ 1384
| 1318 | markw | component osc_in is
|
|
port (
|
|||
inclock : in std_logic := 'X'; -- export
|
|||
dout : out std_logic_vector(1 downto 0); -- export
|
|||
pad_in : in std_logic_vector(0 downto 0) := (others => 'X') -- export
|
|||
);
|
|||
end component osc_in;
|