repo2/atari_chips/pokeyv2/pokeymax.vhd @ 1489
| 701 | markw | ---------------------------------------------------------------------------
|
|
| 1004 | markw | -- (c) 2020 mark watson
|
|
| 701 | markw | -- I am happy for anyone to use this for non-commercial use.
|
|
-- If my vhdl files are used commercially or otherwise sold,
|
|||
-- please contact me for explicit permission at scrameta (gmail).
|
|||
-- This applies for source and binary form and derived works.
|
|||
---------------------------------------------------------------------------
|
|||
LIBRARY ieee;
|
|||
USE ieee.std_logic_1164.all;
|
|||
use ieee.numeric_std.all;
|
|||
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
|
|||
| 1004 | markw | use IEEE.STD_LOGIC_MISC.all;
|
|
| 1145 | markw | use work.AudioTypes.all;
|
|
| 701 | markw | ||
LIBRARY work;
|
|||
ENTITY pokeymax IS
|
|||
GENERIC
|
|||
(
|
|||
| 1004 | markw | pokeys : integer := 1; -- 1-4
|
|
| 1200 | markw | lowpass : integer := 0; -- 0=lowpass off, 1=lowpass on (leave on except if there is no space! Low impact...)
|
|
| 1015 | markw | enable_auto_stereo : integer := 0; -- 1=auto detect a4 => not toggling => mono
|
|
| 1016 | markw | fancy_switch_bit : integer := 20; -- 0=ext is low => mono
|
|
| 1015 | markw | gtia_audio_bit : integer := 0; -- 0=no gtia on l/r,1=gtia mixed on l/r
|
|
| 1196 | markw | detect_right_on_by_default : integer := 1;
|
|
| 1325 | markw | saturate_on_by_default : integer := 1;
|
|
| 1015 | markw | a4_bit : integer := 0;
|
|
a5_bit : integer := 0;
|
|||
a6_bit : integer := 0;
|
|||
a7_bit : integer := 0;
|
|||
| 1238 | markw | cs0_bit : integer := 18;
|
|
| 1130 | markw | cs1_bit : integer := 19;
|
|
| 1312 | markw | spdif_bit : integer := 0;
|
|
ps2clk_bit : integer := 0;
|
|||
ps2dat_bit : integer := 0;
|
|||
| 1015 | markw | ||
ext_bits : integer := 3;
|
|||
| 1312 | markw | pll_v2 : integer := 1;
|
|
| 1015 | markw | ||
| 1010 | markw | enable_config : integer := 1;
|
|
enable_sid : integer := 0;
|
|||
| 1039 | markw | enable_psg : integer := 0;
|
|
| 1012 | markw | enable_covox : integer := 0;
|
|
enable_sample : integer := 0;
|
|||
| 1045 | markw | enable_flash : integer := 0;
|
|
| 1291 | markw | enable_audout2: integer := 1;
|
|
| 1312 | markw | enable_spdif: integer := 0;
|
|
enable_ps2: integer := 0;
|
|||
| 1396 | markw | enable_adc: integer := 0;
|
|
paddle_lvds: integer := 0;
|
|||
paddle_comp: integer := 1;
|
|||
enable_iox: integer := 1;
|
|||
| 1253 | markw | ||
sid_wave_base : integer := 42496; --to_integer(unsigned(x"a600"));
|
|||
| 1015 | markw | ||
| 1251 | markw | flash_addr_bits : integer := 16;
|
|
| 1195 | markw | ext_clk_enable : integer := 0; -- Use PADDLE(6) for sid clk enable, PADDLE(7) for psg
|
|
| 1013 | markw | version : STRING := "DEVELOPR" -- 8 char string atascii
|
|
| 701 | markw | );
|
|
PORT
|
|||
(
|
|||
PHI2 : IN STD_LOGIC;
|
|||
CLK_OUT : OUT STD_LOGIC; -- Use PHI2 and internal oscillator to create a clock, feed out here
|
|||
CLK_SLOW : IN STD_LOGIC; -- ... and back in here, then to pll!
|
|||
| 1312 | markw | ||
CLK0 : IN STD_LOGIC; -- 50MHz on v3 only
|
|||
CLK1 : IN STD_LOGIC; -- 50MHz on v3 only
|
|||
| 701 | markw | ||
D : INOUT STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
| 938 | markw | A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
|
|
| 701 | markw | W_N : IN STD_LOGIC;
|
|
IRQ : INOUT STD_LOGIC;
|
|||
SOD : OUT STD_LOGIC;
|
|||
| 725 | markw | ACLK : OUT STD_LOGIC;
|
|
| 701 | markw | BCLK : INOUT STD_LOGIC;
|
|
SID : IN STD_LOGIC;
|
|||
| 938 | markw | CS0_N : IN STD_LOGIC;
|
|
CS1 : IN STD_LOGIC;
|
|||
| 714 | markw | ||
AUD : OUT STD_LOGIC_VECTOR(4 DOWNTO 1);
|
|||
| 1015 | markw | EXT : INOUT STD_LOGIC_VECTOR(EXT_BITS DOWNTO 1);
|
|
| 938 | markw | ||
| 1396 | markw | -- V4
|
|
PADDLE_P : INOUT STD_LOGIC_VECTOR((7*paddle_lvds) DOWNTO (1-paddle_lvds));
|
|||
PADDLE_N : INOUT STD_LOGIC_VECTOR((7*paddle_lvds) DOWNTO (1-paddle_lvds));
|
|||
| 1397 | markw | K : OUT STD_LOGIC_VECTOR((5-(5*enable_iox)) DOWNTO enable_iox);
|
|
| 1396 | markw | KR1 : IN STD_LOGIC;
|
|
KR2 : IN STD_LOGIC;
|
|||
ADC_TX_P : OUT STD_LOGIC;
|
|||
--ADC_TX_N : OUT STD_LOGIC;
|
|||
ADC_RX_P : IN STD_LOGIC;
|
|||
--ADC_RX_N : IN STD_LOGIC
|
|||
-- V2-V3
|
|||
PADDLE : IN STD_LOGIC_VECTOR((7*paddle_comp) DOWNTO (1-paddle_comp));
|
|||
| 941 | markw | POTRESET_N : OUT STD_LOGIC;
|
|
| 714 | markw | ||
| 701 | markw | IOX_RST : OUT STD_LOGIC;
|
|
IOX_INT : IN STD_LOGIC;
|
|||
IOX_SDA : INOUT STD_LOGIC;
|
|||
| 718 | markw | IOX_SCL : INOUT STD_LOGIC
|
|
| 701 | markw | );
|
|
END pokeymax;
|
|||
ARCHITECTURE vhdl OF pokeymax IS
|
|||
| 1454 | markw | component sigma_delta_adc is
|
|
port (
|
|||
clk : in std_logic;
|
|||
rst : in std_logic;
|
|||
adc_lvds_pin : in std_logic;
|
|||
adc_fb_pin : out std_logic;
|
|||
| 1464 | markw | adc_output : out std_logic_vector(19 downto 0);
|
|
| 1454 | markw | adc_valid : out std_logic
|
|
);
|
|||
end component;
|
|||
| 701 | markw | component int_osc is
|
|
port (
|
|||
clkout : out std_logic; -- clkout.clk
|
|||
oscena : in std_logic := '0' -- oscena.oscena
|
|||
);
|
|||
end component;
|
|||
| 714 | markw | ||
component pll
|
|||
port (
|
|||
inclk0 : in std_logic := '0';
|
|||
c0 : out std_logic;
|
|||
| 1045 | markw | c1 : out std_logic;
|
|
| 1237 | markw | c2 : out std_logic;
|
|
| 714 | markw | locked : out std_logic
|
|
);
|
|||
end component;
|
|||
| 1009 | markw | ||
| 1312 | markw | component pllv3
|
|
port (
|
|||
inclk0 : in std_logic := '0';
|
|||
c0 : out std_logic;
|
|||
c1 : out std_logic;
|
|||
c2 : out std_logic;
|
|||
c3 : out std_logic;
|
|||
locked : out std_logic
|
|||
);
|
|||
end component;
|
|||
| 1396 | markw | component lvds_tx is
|
|
port (
|
|||
tx_in : in std_logic_vector(0 downto 0) := (others => 'X'); -- tx_in
|
|||
tx_out : out std_logic_vector(0 downto 0) -- tx_out
|
|||
);
|
|||
end component lvds_tx;
|
|||
component lvds_rx is
|
|||
port (
|
|||
data : in std_logic_vector(0 downto 0) := (others => 'X'); -- data
|
|||
clock : in std_logic := 'X'; -- clock
|
|||
q : out std_logic_vector(0 downto 0) -- q
|
|||
);
|
|||
end component lvds_rx;
|
|||
component paddle_gpio is
|
|||
port (
|
|||
dout : out std_logic_vector(7 downto 0); -- dout.export
|
|||
din : in std_logic_vector(7 downto 0) := (others => '0'); -- din.export
|
|||
pad_io : inout std_logic_vector(7 downto 0) := (others => '0'); -- pad_io.export
|
|||
pad_io_b : inout std_logic_vector(7 downto 0) := (others => '0'); -- pad_io_b.export
|
|||
oe : in std_logic_vector(7 downto 0) := (others => '0') -- oe.export
|
|||
);
|
|||
end component paddle_gpio;
|
|||
| 1045 | markw | signal OSC_CLK : std_logic; -- about 82MHz! Always?? Massive range on data sheet
|
|
| 714 | markw | ||
signal CLK : std_logic;
|
|||
| 1045 | markw | signal CLK116 : std_logic;
|
|
| 1237 | markw | signal CLK106 : std_logic;
|
|
| 714 | markw | signal RESET_N : std_logic;
|
|
signal ENABLE_CYCLE : std_logic;
|
|||
| 1163 | markw | signal ENABLE_DOUBLE_CYCLE : std_logic;
|
|
| 714 | markw | ||
| 1003 | markw | -- WRITE ENABLES
|
|
| 1004 | markw | SIGNAL POKEY_WRITE_ENABLE : STD_LOGIC_VECTOR(3 downto 0);
|
|
| 1003 | markw | ||
| 1004 | markw | SIGNAL SID_WRITE_ENABLE : STD_LOGIC_VECTOR(1 downto 0);
|
|
| 1325 | markw | SIGNAL SID_READ_ENABLE : STD_LOGIC_VECTOR(1 downto 0);
|
|
| 1003 | markw | ||
| 1035 | markw | SIGNAL PSG_WRITE_ENABLE : STD_LOGIC_VECTOR(1 downto 0);
|
|
| 1003 | markw | ||
SIGNAL SAMPLE_WRITE_ENABLE : STD_LOGIC;
|
|||
SIGNAL CONFIG_WRITE_ENABLE : STD_LOGIC;
|
|||
-- DATA OUTS
|
|||
| 1004 | markw | type DO_TYPE is array (NATURAL range <>) of std_logic_vector(7 downto 0);
|
|
| 1003 | markw | ||
| 1004 | markw | SIGNAL POKEY_DO : DO_TYPE(3 downto 0);
|
|
| 1003 | markw | ||
| 1004 | markw | SIGNAL SID_DO : DO_TYPE(1 downto 0);
|
|
| 1273 | markw | SIGNAL SID_DRIVE_DO : std_logic_vector(1 downto 0);
|
|
| 1003 | markw | ||
| 1035 | markw | SIGNAL PSG_DO : DO_TYPE(1 DOWNTO 0);
|
|
| 1004 | markw | ||
| 1003 | markw | SIGNAL SAMPLE_DO : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|
SIGNAL CONFIG_DO : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
-- POKEY
|
|||
| 1004 | markw | signal POKEY_CHANNEL0 : POKEY_AUDIO(3 downto 0);
|
|
signal POKEY_CHANNEL1 : POKEY_AUDIO(3 downto 0);
|
|||
signal POKEY_CHANNEL2 : POKEY_AUDIO(3 downto 0);
|
|||
signal POKEY_CHANNEL3 : POKEY_AUDIO(3 downto 0);
|
|||
| 714 | markw | ||
| 1012 | markw | signal CHANNEL0SUM_NEXT : unsigned(5 downto 0);
|
|
signal CHANNEL1SUM_NEXT : unsigned(5 downto 0);
|
|||
signal CHANNEL2SUM_NEXT : unsigned(5 downto 0);
|
|||
signal CHANNEL3SUM_NEXT : unsigned(5 downto 0);
|
|||
signal CHANNEL0SUM_REG : unsigned(5 downto 0);
|
|||
signal CHANNEL1SUM_REG : unsigned(5 downto 0);
|
|||
signal CHANNEL2SUM_REG : unsigned(5 downto 0);
|
|||
signal CHANNEL3SUM_REG : unsigned(5 downto 0);
|
|||
| 999 | markw | ||
| 714 | markw | signal SIO_CLOCKIN_IN : std_logic;
|
|
signal SIO_CLOCKIN_OUT : std_logic;
|
|||
signal SIO_CLOCKIN_OE : std_logic;
|
|||
signal SIO_CLOCKOUT : std_logic;
|
|||
signal SIO_TXD : std_logic;
|
|||
signal SIO_RXD : std_logic;
|
|||
| 1470 | markw | signal SIO_RXD_ADC : std_logic;
|
|
| 714 | markw | ||
| 1004 | markw | signal POKEY_IRQ : std_logic_vector(3 downto 0);
|
|
| 714 | markw | ||
| 1015 | markw | signal ADDR_IN : std_logic_vector(7 downto 0);
|
|
| 716 | markw | signal WRITE_DATA : std_logic_vector(7 downto 0);
|
|
| 1003 | markw | signal DEVICE_ADDR : std_logic_vector(3 downto 0);
|
|
| 714 | markw | ||
| 1149 | markw | signal POKEY_AUDIO_0 : unsigned(15 downto 0);
|
|
signal POKEY_AUDIO_1 : unsigned(15 downto 0);
|
|||
signal POKEY_AUDIO_2 : unsigned(15 downto 0);
|
|||
signal POKEY_AUDIO_3 : unsigned(15 downto 0);
|
|||
| 1012 | markw | ||
| 999 | markw | signal AUDIO_0_UNSIGNED : unsigned(15 downto 0);
|
|
signal AUDIO_1_UNSIGNED : unsigned(15 downto 0);
|
|||
signal AUDIO_2_UNSIGNED : unsigned(15 downto 0);
|
|||
| 1012 | markw | signal AUDIO_3_UNSIGNED : unsigned(15 downto 0);
|
|
| 993 | markw | ||
| 999 | markw | signal AUDIO_0_SIGMADELTA : std_logic;
|
|
signal AUDIO_1_SIGMADELTA : std_logic;
|
|||
signal AUDIO_2_SIGMADELTA : std_logic;
|
|||
signal AUDIO_3_SIGMADELTA : std_logic;
|
|||
| 714 | markw | ||
signal KEYBOARD_SCAN : std_logic_vector(5 downto 0);
|
|||
| 1312 | markw | signal IOX_KEYBOARD_RESPONSE : std_logic_vector(1 downto 0);
|
|
signal PS2_KEYBOARD_RESPONSE : std_logic_vector(1 downto 0);
|
|||
| 714 | markw | signal KEYBOARD_RESPONSE : std_logic_vector(1 downto 0);
|
|
| 1224 | markw | signal KEYBOARD_SCAN_UPDATE : std_logic;
|
|
| 1239 | markw | signal KEYBOARD_SCAN_ENABLE : std_logic;
|
|
| 716 | markw | ||
| 1203 | markw | signal POKEY_PROFILE_ADDR : std_logic_vector(5 downto 0);
|
|
signal POKEY_PROFILE_REQUEST : std_logic;
|
|||
signal POKEY_PROFILE_READY : std_logic;
|
|||
| 1009 | markw | -- SID
|
|
| 1010 | markw | signal SID_CLK_ENABLE : std_logic;
|
|
| 1009 | markw | signal SID_AUDIO : SID_AUDIO_TYPE(1 downto 0);
|
|
| 1253 | markw | signal SID_FLASH1_ADDR : std_logic_vector(16 downto 0);
|
|
| 1208 | markw | signal SID_FLASH1_ROMREQUEST : std_logic;
|
|
signal SID_FLASH1_ROMREADY : std_logic;
|
|||
| 1253 | markw | signal SID_FLASH2_ADDR : std_logic_vector(16 downto 0);
|
|
| 1208 | markw | signal SID_FLASH2_ROMREQUEST : std_logic;
|
|
signal SID_FLASH2_ROMREADY : std_logic;
|
|||
| 1284 | markw | signal SID_FILTER1_REG : std_logic_vector(1 downto 0);
|
|
signal SID_FILTER1_NEXT : std_logic_vector(1 downto 0);
|
|||
signal SID_FILTER2_REG : std_logic_vector(1 downto 0);
|
|||
signal SID_FILTER2_NEXT : std_logic_vector(1 downto 0);
|
|||
| 1262 | markw | signal SID1_FILTER_BP : signed(17 downto 8);
|
|
signal SID1_FILTER_HP : signed(17 downto 8);
|
|||
signal SID1_F_RAW : std_logic_vector(12 downto 0);
|
|||
signal SID1_F_BP : unsigned(12 downto 0);
|
|||
signal SID1_F_HP : unsigned(12 downto 0);
|
|||
signal SID2_FILTER_BP : signed(17 downto 8);
|
|||
signal SID2_FILTER_HP : signed(17 downto 8);
|
|||
signal SID2_F_RAW : std_logic_vector(12 downto 0);
|
|||
signal SID2_F_BP : unsigned(12 downto 0);
|
|||
signal SID2_F_HP : unsigned(12 downto 0);
|
|||
| 1009 | markw | ||
| 1035 | markw | -- PSG
|
|
| 1051 | markw | signal PSG_ENABLE_2Mhz : std_logic;
|
|
signal PSG_ENABLE_1Mhz : std_logic;
|
|||
| 1039 | markw | signal PSG_ENABLE : std_logic;
|
|
| 1139 | markw | signal PSG_AUDIO : PSG_AUDIO_TYPE(1 downto 0);
|
|
| 1051 | markw | ||
| 1139 | markw | signal PSG_CHANNEL : PSG_CHANNEL_TYPE(5 downto 0);
|
|
| 1220 | markw | signal PSG_CHANGED : std_logic_vector(1 downto 0);
|
|
| 1139 | markw | ||
| 1051 | markw | signal PSG_FREQ_REG : std_logic_vector(1 downto 0);
|
|
signal PSG_FREQ_NEXT : std_logic_vector(1 downto 0);
|
|||
signal PSG_STEREOMODE_REG : std_logic_vector(1 downto 0);
|
|||
signal PSG_STEREOMODE_NEXT : std_logic_vector(1 downto 0);
|
|||
| 1143 | markw | signal PSG_PROFILESEL_REG : std_logic_vector(1 downto 0);
|
|
signal PSG_PROFILESEL_NEXT : std_logic_vector(1 downto 0);
|
|||
| 1201 | markw | signal PSG_PROFILE_ADDR : std_logic_vector(4 downto 0);
|
|
signal PSG_PROFILE_REQUEST : std_logic;
|
|||
signal PSG_PROFILE_READY : std_logic;
|
|||
| 1143 | markw | ||
| 1051 | markw | signal PSG_ENVELOPE16_REG : std_logic;
|
|
signal PSG_ENVELOPE16_NEXT : std_logic;
|
|||
| 1139 | markw | signal PSG_MIX1 : std_logic_vector(5 downto 0);
|
|
signal PSG_MIX2 : std_logic_vector(5 downto 0);
|
|||
| 1009 | markw | ||
-- SUPPORT
|
|||
| 716 | markw | signal BUS_DATA : std_logic_vector(7 downto 0);
|
|
signal BUS_OE : std_logic;
|
|||
signal REQUEST : std_logic;
|
|||
signal WRITE_N : std_logic;
|
|||
signal DO_MUX : std_logic_vector(7 downto 0);
|
|||
| 1273 | markw | signal DRIVE_DO_MUX : std_logic;
|
|
| 718 | markw | ||
signal i2c0_ena : std_logic;
|
|||
signal i2c0_addr : std_logic_vector(7 downto 1);
|
|||
signal i2c0_rw : std_logic;
|
|||
signal i2c0_write_data : std_logic_vector(7 downto 0);
|
|||
signal i2c0_busy : std_logic;
|
|||
signal i2c0_read_data : std_logic_vector(7 downto 0);
|
|||
signal i2c0_error : std_logic;
|
|||
| 730 | markw | ||
| 1238 | markw | signal CS0NMOD : std_logic;
|
|
| 1130 | markw | signal CS1MOD : std_logic;
|
|
| 938 | markw | signal CS_COMB : std_logic;
|
|
| 940 | markw | ||
| 1015 | markw | signal AIN : std_logic_vector(7 downto 0);
|
|
| 941 | markw | ||
signal POTRESET : std_logic;
|
|||
| 986 | markw | ||
| 1015 | markw | signal FANCY_ENABLE : std_logic;
|
|
signal FANCY_SWITCH : std_logic;
|
|||
signal A4_DETECTED : std_logic;
|
|||
signal GTIA_AUDIO : std_logic;
|
|||
| 1016 | markw | signal EXT_INT : std_logic_vector(20 downto 0);
|
|
| 1067 | markw | ||
| 999 | markw | -- config
|
|
--config regs
|
|||
| 1107 | markw | signal DETECT_RIGHT_REG : std_logic;
|
|
| 1033 | markw | signal IRQ_EN_REG : std_logic;
|
|
| 1012 | markw | signal CHANNEL_MODE_REG : std_logic;
|
|
| 999 | markw | signal SATURATE_REG : std_logic;
|
|
signal POST_DIVIDE_REG : std_logic_vector(7 downto 0);
|
|||
| 1003 | markw | signal GTIA_ENABLE_REG : std_logic_vector(3 downto 0);
|
|
| 1457 | markw | signal ADC_VOLUME_REG : std_logic_vector(1 downto 0);
|
|
signal SIO_DATA_VOLUME_REG : std_logic_vector(1 downto 0);
|
|||
| 1012 | markw | signal VERSION_LOC_REG : std_logic_vector(2 downto 0);
|
|
| 1292 | markw | signal PAL_REG : std_logic;
|
|
| 999 | markw | ||
| 1107 | markw | signal DETECT_RIGHT_NEXT : std_logic;
|
|
| 1033 | markw | signal IRQ_EN_NEXT : std_logic;
|
|
| 1012 | markw | signal CHANNEL_MODE_NEXT : std_logic;
|
|
| 999 | markw | signal SATURATE_NEXT : std_logic;
|
|
signal POST_DIVIDE_NEXT : std_logic_vector(7 downto 0);
|
|||
| 1003 | markw | signal GTIA_ENABLE_NEXT : std_logic_vector(3 downto 0);
|
|
| 1457 | markw | signal ADC_VOLUME_NEXT : std_logic_vector(1 downto 0);
|
|
signal SIO_DATA_VOLUME_NEXT : std_logic_vector(1 downto 0);
|
|||
| 1012 | markw | signal VERSION_LOC_NEXT : std_logic_vector(2 downto 0);
|
|
| 1292 | markw | signal PAL_NEXT : std_logic;
|
|
| 999 | markw | ||
--config infra
|
|||
| 1026 | markw | signal addr_decoded4 : std_logic_vector(15 downto 0);
|
|
| 999 | markw | signal CONFIG_ENABLE_REG : std_logic;
|
|
signal CONFIG_ENABLE_NEXT: std_logic;
|
|||
| 1004 | markw | -- SAMPLE/COVOX
|
|
| 1029 | markw | signal SAMPLE_AUDIO : SAMPLE_AUDIO_TYPE(1 downto 0);
|
|
| 1162 | markw | signal SAMPLE_IRQ : std_logic;
|
|
signal SAMPLE_RAM_ADDRESS : std_logic_vector(15 downto 0);
|
|||
signal SAMPLE_RAM_WRITE_ENABLE : std_logic;
|
|||
signal SAMPLE_RAM_DATA : std_logic_vector(7 downto 0);
|
|||
| 1029 | markw | ||
| 1168 | markw | signal ADPCM_STEP_ADDR : std_logic_vector(6 downto 0);
|
|
signal ADPCM_STEP_REQUEST : std_logic;
|
|||
signal ADPCM_STEP_READY : std_logic;
|
|||
| 1045 | markw | -- FLASH
|
|
| 1175 | markw | signal flash_do_slow : std_logic_vector(31 downto 0); --58Mhz
|
|
| 1045 | markw | ||
| 1103 | markw | signal CPU_FLASH_REQUEST_NEXT : std_logic;
|
|
signal CPU_FLASH_REQUEST_REG : std_logic;
|
|||
signal CPU_FLASH_WRITE_N_NEXT : std_logic;
|
|||
signal CPU_FLASH_WRITE_N_REG : std_logic;
|
|||
| 1112 | markw | signal CPU_FLASH_CFG_NEXT : std_logic;
|
|
signal CPU_FLASH_CFG_REG : std_logic;
|
|||
| 1251 | markw | signal CPU_FLASH_ADDR_NEXT : std_logic_vector(flash_addr_bits+1 downto 0);
|
|
signal CPU_FLASH_ADDR_REG : std_logic_vector(flash_addr_bits+1 downto 0);
|
|||
| 1103 | markw | signal CPU_FLASH_DATA_NEXT : std_logic_vector(31 downto 0);
|
|
signal CPU_FLASH_DATA_REG : std_logic_vector(31 downto 0);
|
|||
signal CPU_FLASH_COMPLETE : std_logic;
|
|||
| 1045 | markw | ||
| 1107 | markw | signal CONFIG_FLASH_STATE_REG : std_logic_vector(1 downto 0);
|
|
signal CONFIG_FLASH_STATE_NEXT : std_logic_vector(1 downto 0);
|
|||
constant CONFIG_FLASH_STATE_ADDR1 : std_logic_vector(1 downto 0) := "00";
|
|||
constant CONFIG_FLASH_STATE_ADDR2 : std_logic_vector(1 downto 0) := "01";
|
|||
constant CONFIG_FLASH_STATE_DONE : std_logic_vector(1 downto 0) := "10";
|
|||
signal CONFIG_FLASH_REQUEST : std_logic;
|
|||
signal CONFIG_FLASH_COMPLETE : std_logic;
|
|||
signal CONFIG_FLASH_ADDR : std_logic_vector(0 downto 0);
|
|||
| 1200 | markw | -- capability restriction
|
|
signal RESTRICT_CAPABILITY_REG : std_logic_vector(4 downto 0);
|
|||
signal RESTRICT_CAPABILITY_NEXT : std_logic_vector(4 downto 0);
|
|||
| 1351 | markw | signal readreq_s : std_logic;
|
|
signal writereq_s : std_logic;
|
|||
| 1200 | markw | -- 0=stereo off
|
|
-- 1=quad off
|
|||
-- 2=sid off
|
|||
-- 3=psg off
|
|||
-- 4=sample off
|
|||
| 1344 | markw | -- output channel on/off
|
|
signal CHANNEL_EN_REG : std_logic_vector(4 downto 0);
|
|||
signal CHANNEL_EN_NEXT : std_logic_vector(4 downto 0);
|
|||
-- 0=0 (37)
|
|||
-- 1=1
|
|||
-- 2=2 L ext
|
|||
-- 3=3 R ext
|
|||
-- 4=spdif
|
|||
| 1195 | markw | -- ext clk enable
|
|
signal SID_ENABLE_NEXT : std_logic;
|
|||
signal SID_ENABLE_REG : std_logic;
|
|||
signal PSG_ENABLE_NEXT : std_logic;
|
|||
signal PSG_ENABLE_REG : std_logic;
|
|||
| 1275 | markw | -- clock gen
|
|
signal MHZ1_ENABLE : std_logic;
|
|||
signal MHZ2_ENABLE : std_logic;
|
|||
| 1312 | markw | -- spdif
|
|
signal spdif_mux : std_logic_vector(15 downto 0);
|
|||
| 1472 | markw | signal spdif_left : std_logic;
|
|
| 1312 | markw | signal spdif_out : std_logic;
|
|
signal CLK6144 : std_logic; --spdif
|
|||
signal AUDIO_2_FILTERED : unsigned(15 downto 0);
|
|||
signal AUDIO_3_FILTERED : unsigned(15 downto 0);
|
|||
-- ps2
|
|||
signal PS2CLK : std_logic;
|
|||
signal PS2DAT : std_logic;
|
|||
| 1396 | markw | -- adc
|
|
| 1454 | markw | signal CLK49152 : std_logic;
|
|
| 1396 | markw | ||
| 1454 | markw | signal adc_reg : signed(15 downto 0);
|
|
signal adc_next : signed(15 downto 0);
|
|||
| 1396 | markw | ||
| 1454 | markw | signal adc_use_reg : signed(15 downto 0);
|
|
signal adc_use_next : signed(15 downto 0);
|
|||
| 1396 | markw | ||
| 1467 | markw | signal adc_frozen_reg : signed(15 downto 0);
|
|
signal adc_frozen_next : signed(15 downto 0);
|
|||
signal sio_noise : signed(15 downto 0);
|
|||
| 1454 | markw | signal adc_in_signed : signed(15 downto 0);
|
|
signal adc_out_signed : signed(15 downto 0);
|
|||
| 1467 | markw | signal adc_enabled : std_logic;
|
|
| 1396 | markw | ||
| 1454 | markw | signal adc_valid : std_logic;
|
|
| 1464 | markw | signal adc_output : std_logic_vector(19 downto 0);
|
|
| 1454 | markw | ||
signal adc_lvds_pin : std_logic;
|
|||
signal adc_fb_pin : std_logic;
|
|||
signal fir_data_request :std_logic;
|
|||
signal fir_data_address :std_logic_vector(9 downto 0);
|
|||
signal fir_data_ready :std_logic;
|
|||
signal SIO_AUDIO : unsigned(15 downto 0);
|
|||
| 1396 | markw | -- paddles
|
|
signal PADDLE_ADJ : std_logic_vector(7 downto 0);
|
|||
| 1013 | markw | function getByte(a : string; x : integer) return std_logic_vector is
|
|
variable ret : std_logic_vector(7 downto 0);
|
|||
begin
|
|||
ret := std_logic_vector(to_unsigned(character'pos(a(x)), 8));
|
|||
return ret;
|
|||
end function getByte;
|
|||
| 1261 | markw | ||
function MIN(LEFT, RIGHT: INTEGER) return INTEGER is
|
|||
begin
|
|||
if LEFT < RIGHT then return LEFT;
|
|||
else return RIGHT;
|
|||
end if;
|
|||
end function min;
|
|||
| 1009 | markw | ||
| 701 | markw | BEGIN
|
|
| 941 | markw | EXT <= (others=>'Z');
|
|
| 701 | markw | ||
| 1238 | markw | CS_COMB <= CS1MOD and not(CS0NMOD);
|
|
| 1067 | markw | ||
| 701 | markw | oscillator : int_osc
|
|
port map
|
|||
(
|
|||
| 714 | markw | clkout => OSC_CLK,
|
|
| 701 | markw | oscena => '1'
|
|
);
|
|||
| 1045 | markw | flash_on : if enable_flash=1 generate
|
|
| 1175 | markw | process(CLK,RESET_N)
|
|
| 1103 | markw | begin
|
|
| 1108 | markw | if (RESET_N='0') then
|
|
| 1103 | markw | CPU_FLASH_REQUEST_REG <= '0';
|
|
CPU_FLASH_WRITE_N_REG <= '1';
|
|||
| 1112 | markw | CPU_FLASH_CFG_REG <= '0';
|
|
| 1103 | markw | CPU_FLASH_ADDR_REG <= (others=>'0');
|
|
CPU_FLASH_DATA_REG <= (others=>'0');
|
|||
| 1107 | markw | CONFIG_FLASH_STATE_REG <= CONFIG_FLASH_STATE_ADDR1;
|
|
| 1175 | markw | elsif (CLK'event and CLK='1') then
|
|
| 1103 | markw | CPU_FLASH_REQUEST_REG <= CPU_FLASH_REQUEST_NEXT;
|
|
CPU_FLASH_WRITE_N_REG <= CPU_FLASH_WRITE_N_NEXT;
|
|||
| 1112 | markw | CPU_FLASH_CFG_REG <= CPU_FLASH_CFG_NEXT;
|
|
| 1103 | markw | CPU_FLASH_ADDR_REG <= CPU_FLASH_ADDR_NEXT;
|
|
CPU_FLASH_DATA_REG <= CPU_FLASH_DATA_NEXT;
|
|||
| 1107 | markw | CONFIG_FLASH_STATE_REG <= CONFIG_FLASH_STATE_NEXT;
|
|
| 1103 | markw | end if;
|
|
end process;
|
|||
| 1045 | markw | ||
| 1103 | markw | -- TODO:req2 initially reads some data for the config regs
|
|
-- then the state machine fills the entirely of block ram
|
|||
-- say it takes 10 cycles for 32-bits, this will take... 0.7ms, should be ok... 44MB/s!
|
|||
flash_controller_inst : entity work.flash_controller
|
|||
| 1251 | markw | generic map
|
|
(
|
|||
addr_bits =>flash_addr_bits
|
|||
)
|
|||
| 1103 | markw | port map
|
|
(
|
|||
CLK => CLK116,
|
|||
| 1175 | markw | CLK_SLOW => CLK,
|
|
| 1103 | markw | RESET_N => RESET_N,
|
|
| 1045 | markw | ||
| 1103 | markw | -- Request from device 1 (cpu)
|
|
| 1112 | markw | flash_req1_addr_config => CPU_FLASH_CFG_REG,
|
|
| 1251 | markw | flash_req1_addr => CPU_FLASH_ADDR_REG(flash_addr_bits+1 downto 2),
|
|
| 1103 | markw | flash_req1_data_in => CPU_FLASH_DATA_REG,
|
|
flash_req1_write_n => CPU_FLASH_WRITE_N_REG,
|
|||
| 1045 | markw | ||
| 1107 | markw | flash_req2_addr(12 downto 1) => (others=>'0'), -- first 2 32-bit words are config!
|
|
flash_req2_addr(0 downto 0) => CONFIG_FLASH_ADDR(0 downto 0),
|
|||
| 1045 | markw | ||
| 1168 | markw | flash_req3_addr(12 downto 8) => (others=>'0'),
|
|
flash_req3_addr(7 downto 0) => "1"&ADPCM_STEP_ADDR(6 downto 0),
|
|||
| 1253 | markw | flash_req4_addr(flash_addr_bits-1 downto 17) => (others=>'0'),
|
|
| 1261 | markw | flash_req4_addr(min(flash_addr_bits-1,16) downto 0) => SID_FLASH1_ADDR(min(flash_addr_bits-1,16) downto 0), --8KB per type: 6581, 8580 takes 16KB. Can use space after core for more?
|
|
| 1178 | markw | ||
| 1253 | markw | flash_req5_addr(flash_addr_bits-1 downto 17) => (others=>'0'),
|
|
| 1261 | markw | flash_req5_addr(min(flash_addr_bits-1,16) downto 0) => SID_FLASH2_ADDR(min(flash_addr_bits-1,16) downto 0),
|
|
| 1178 | markw | ||
| 1201 | markw | flash_req6_addr(12 downto 9) => (others=>'0'),
|
|
| 1203 | markw | flash_req6_addr(8 downto 0) => "10"&PSG_PROFILESEL_REG&PSG_PROFILE_ADDR, --TODO + init.bin
|
|
| 1201 | markw | ||
| 1203 | markw | flash_req7_addr(12 downto 9) => (others=>'0'),
|
|
flash_req7_addr(8 downto 0) => "11"&SATURATE_REG&POKEY_PROFILE_ADDR, --TODO + init.bin
|
|||
| 1454 | markw | flash_req8_addr(12 downto 12) => (others=>'0'),
|
|
flash_req8_addr(11 downto 0) => "11"&FIR_DATA_ADDRESS,
|
|||
| 1105 | markw | flash_req_request(0) => CPU_FLASH_REQUEST_REG,
|
|
| 1107 | markw | flash_req_request(1) => CONFIG_FLASH_REQUEST,
|
|
| 1168 | markw | flash_req_request(2) => ADPCM_STEP_REQUEST,
|
|
| 1208 | markw | flash_req_request(3) => SID_FLASH1_ROMREQUEST,
|
|
flash_req_request(4) => SID_FLASH2_ROMREQUEST,
|
|||
| 1201 | markw | flash_req_request(5) => PSG_PROFILE_REQUEST,
|
|
| 1203 | markw | flash_req_request(6) => POKEY_PROFILE_REQUEST,
|
|
| 1454 | markw | flash_req_request(7) => FIR_DATA_REQUEST,
|
|
| 1175 | markw | flash_req_complete(7 downto 0) => open,
|
|
| 1105 | markw | ||
| 1175 | markw | flash_req_complete_slow(0) => CPU_FLASH_COMPLETE,
|
|
flash_req_complete_slow(1) => CONFIG_FLASH_COMPLETE,
|
|||
flash_req_complete_slow(2) => ADPCM_STEP_READY,
|
|||
| 1208 | markw | flash_req_complete_slow(3) => SID_FLASH1_ROMREADY,
|
|
flash_req_complete_slow(4) => SID_FLASH2_ROMREADY,
|
|||
| 1201 | markw | flash_req_complete_slow(5) => PSG_PROFILE_READY,
|
|
| 1203 | markw | flash_req_complete_slow(6) => POKEY_PROFILE_READY,
|
|
| 1454 | markw | flash_req_complete_slow(7) => FIR_DATA_READY,
|
|
| 1175 | markw | ||
flash_data_out_slow => flash_do_slow
|
|||
| 1045 | markw | );
|
|
| 1105 | markw | ||
-- initialize the registers from flash
|
|||
-- flash memory map
|
|||
-- 32KB
|
|||
-- 0x0000-0x07ff - 2k configuration (regs, psg vol curve, pokey mixing curve, sid filter piecewise linear?)
|
|||
| 1107 | markw | -- first 64 bits - config regs
|
|
| 1105 | markw | -- 0x0800-0x3fff - 14k sid tables
|
|
| 1107 | markw | -- 0x4000-0x7fff - 16k fixed samples?
|
|
-- reg init
|
|||
process(CONFIG_FLASH_STATE_REG, CONFIG_FLASH_COMPLETE)
|
|||
begin
|
|||
CONFIG_FLASH_REQUEST <= '0';
|
|||
CONFIG_FLASH_STATE_NEXT <= CONFIG_FLASH_STATE_REG;
|
|||
CONFIG_FLASH_ADDR <= "0";
|
|||
case CONFIG_FLASH_STATE_REG is
|
|||
when CONFIG_FLASH_STATE_ADDR1 =>
|
|||
CONFIG_FLASH_REQUEST <= '1';
|
|||
CONFIG_FLASH_ADDR <= "0";
|
|||
if (CONFIG_FLASH_COMPLETE='1') then
|
|||
CONFIG_FLASH_STATE_NEXT <= CONFIG_FLASH_STATE_ADDR2;
|
|||
end if;
|
|||
when CONFIG_FLASH_STATE_ADDR2 =>
|
|||
CONFIG_FLASH_REQUEST <= '1';
|
|||
CONFIG_FLASH_ADDR <= "1";
|
|||
if (CONFIG_FLASH_COMPLETE='1') then
|
|||
CONFIG_FLASH_STATE_NEXT <= CONFIG_FLASH_STATE_DONE;
|
|||
end if;
|
|||
when others=>
|
|||
end case;
|
|||
end process;
|
|||
| 1045 | markw | end generate;
|
|
| 1015 | markw | EXT_INT(0) <= '0'; --force to 0
|
|
| 1238 | markw | EXT_INT(17 downto ext_bits+1) <= (others=>'1');
|
|
EXT_INT(18) <= CS0_N;
|
|||
| 1130 | markw | EXT_INT(19) <= CS1;
|
|
EXT_INT(20) <= '1';
|
|||
| 1015 | markw | EXT_INT(ext_bits downto 1) <= EXT;
|
|
| 714 | markw | ||
| 1015 | markw | synchronizer_gtia_audio : entity work.synchronizer
|
|
port map (clk=>clk, raw=>EXT_INT(gtia_audio_bit), sync=>GTIA_AUDIO);
|
|||
synchronizer_fancy_enable : entity work.synchronizer
|
|||
port map (clk=>clk, raw=>EXT_INT(fancy_switch_bit), sync=>FANCY_SWITCH);
|
|||
--assert address_bits<7 report "EXT3 already used for A6";
|
|||
| 1045 | markw | CLK_OUT <= OSC_CLK;
|
|
| 714 | markw | ||
| 1312 | markw | ||
pll_v2_inst : if pll_v2=1 generate
|
|||
| 714 | markw | pll_inst : pll
|
|
PORT MAP(inclk0 => CLK_SLOW,
|
|||
| 1045 | markw | c0 => CLK, --56 ish
|
|
c1 => CLK116, --113ish
|
|||
| 1237 | markw | c2 => CLK106, --106ish
|
|
| 714 | markw | locked => RESET_N);
|
|
| 1454 | markw | CLK49152 <= '0';
|
|
| 1312 | markw | end generate;
|
|
| 714 | markw | ||
| 1312 | markw | pll_v3_inst : if pll_v2=0 generate
|
|
pll_inst : pllv3
|
|||
PORT MAP(inclk0 => CLK0, --49.192 (50 on prototype)
|
|||
| 1454 | markw | c0 => CLK, --56ish
|
|
c1 => CLK116, --56ish
|
|||
| 1312 | markw | c2 => CLK106, --106ish
|
|
c3 => CLK6144, --6.44MHz
|
|||
locked => RESET_N);
|
|||
| 1454 | markw | CLK49152 <= CLK0;
|
|
| 1312 | markw | end generate;
|
|
| 1005 | markw | ||
| 1312 | markw | ||
| 1005 | markw | AIN(3 downto 0) <= A;
|
|
| 1015 | markw | AIN(7) <= EXT_INT(a7_bit);
|
|
AIN(6) <= EXT_INT(a6_bit);
|
|||
AIN(5) <= EXT_INT(a5_bit);
|
|||
AIN(4) <= EXT_INT(a4_bit);
|
|||
| 1130 | markw | CS1MOD <= EXT_INT(cs1_bit);
|
|
| 1238 | markw | CS0NMOD <= EXT_INT(cs0_bit);
|
|
| 1005 | markw | ||
| 716 | markw | bus_adapt : entity work.slave_timing_6502
|
|
| 1005 | markw | GENERIC MAP
|
|
(
|
|||
| 1015 | markw | address_bits => 8
|
|
| 1005 | markw | )
|
|
| 716 | markw | PORT MAP
|
|
(
|
|||
CLK => CLK,
|
|||
RESET_N => RESET_N,
|
|||
-- input from the cart port
|
|||
PHI2 => PHI2,
|
|||
| 1015 | markw | bus_addr => AIN,
|
|
| 716 | markw | bus_data => D,
|
|
-- output to the cart port
|
|||
bus_data_out => BUS_DATA,
|
|||
bus_drive => BUS_OE,
|
|||
bus_cs => CS_COMB,
|
|||
bus_rw_n => W_N,
|
|||
-- request for a memory bus cycle (read or write)
|
|||
BUS_REQUEST => REQUEST,
|
|||
ADDR_IN => ADDR_IN,
|
|||
DATA_IN => WRITE_DATA,
|
|||
RW_N => WRITE_N,
|
|||
| 717 | markw | -- end of cycle
|
|
ENABLE_CYCLE => ENABLE_CYCLE,
|
|||
| 1163 | markw | ENABLE_DOUBLE_CYCLE => ENABLE_DOUBLE_CYCLE,
|
|
| 717 | markw | ||
| 1273 | markw | DATA_OUT => DO_MUX,
|
|
DRIVE_DATA_OUT => DRIVE_DO_MUX
|
|||
| 716 | markw | );
|
|
| 999 | markw | ||
| 1003 | markw | auto_stereo : if enable_auto_stereo=1 generate -- auto detect
|
|
| 1005 | markw | a4 : ENTITY work.stereo_detect
|
|
| 1003 | markw | PORT MAP
|
|
(
|
|||
CLK => clk,
|
|||
RESET_N => reset_n,
|
|||
A => AIN(4), -- raw...
|
|||
| 1015 | markw | DETECT => A4_DETECTED
|
|
| 1003 | markw | );
|
|
end generate;
|
|||
auto_stereo_off : if enable_auto_stereo=0 generate -- manual switch
|
|||
| 1015 | markw | A4_DETECTED <= '1';
|
|
| 1003 | markw | end generate;
|
|
| 1015 | markw | ||
FANCY_ENABLE <= FANCY_SWITCH and A4_DETECTED;
|
|||
| 1003 | markw | ||
| 999 | markw | -- TODO: into another entity
|
|
process(clk)
|
|||
begin
|
|||
if (clk'event and clk='1') then
|
|||
CHANNEL0SUM_REG <= CHANNEL0SUM_NEXT;
|
|||
CHANNEL1SUM_REG <= CHANNEL1SUM_NEXT;
|
|||
CHANNEL2SUM_REG <= CHANNEL2SUM_NEXT;
|
|||
CHANNEL3SUM_REG <= CHANNEL3SUM_NEXT;
|
|||
end if;
|
|||
end process;
|
|||
process(
|
|||
| 1004 | markw | POKEY_CHANNEL0,POKEY_CHANNEL1,POKEY_CHANNEL2,POKEY_CHANNEL3,
|
|
| 1019 | markw | CHANNEL_MODE_REG -- 0=pokeys have a channel each,1=ch 0 summed, ch 1 summed, ch 2 summed etc
|
|
| 999 | markw | )
|
|
| 1004 | markw | variable p0 : unsigned(5 downto 0);
|
|
variable p1 : unsigned(5 downto 0);
|
|||
variable p2 : unsigned(5 downto 0);
|
|||
variable p3 : unsigned(5 downto 0);
|
|||
| 999 | markw | ||
| 1004 | markw | variable c0 : unsigned(5 downto 0);
|
|
variable c1 : unsigned(5 downto 0);
|
|||
variable c2 : unsigned(5 downto 0);
|
|||
variable c3 : unsigned(5 downto 0);
|
|||
| 999 | markw | ||
| 1012 | markw | variable sum0 : unsigned(5 downto 0);
|
|
variable sum1 : unsigned(5 downto 0);
|
|||
variable sum2 : unsigned(5 downto 0);
|
|||
variable sum3 : unsigned(5 downto 0);
|
|||
| 999 | markw | ||
begin
|
|||
| 1004 | markw | p0 := resize(unsigned(POKEY_CHANNEL0(0)),6) + resize(unsigned(POKEY_CHANNEL1(0)),6) + resize(unsigned(POKEY_CHANNEL2(0)),6) + resize(unsigned(POKEY_CHANNEL3(0)),6);
|
|
p1 := resize(unsigned(POKEY_CHANNEL0(1)),6) + resize(unsigned(POKEY_CHANNEL1(1)),6) + resize(unsigned(POKEY_CHANNEL2(1)),6) + resize(unsigned(POKEY_CHANNEL3(1)),6);
|
|||
p2 := resize(unsigned(POKEY_CHANNEL0(2)),6) + resize(unsigned(POKEY_CHANNEL1(2)),6) + resize(unsigned(POKEY_CHANNEL2(2)),6) + resize(unsigned(POKEY_CHANNEL3(2)),6);
|
|||
p3 := resize(unsigned(POKEY_CHANNEL0(3)),6) + resize(unsigned(POKEY_CHANNEL1(3)),6) + resize(unsigned(POKEY_CHANNEL2(3)),6) + resize(unsigned(POKEY_CHANNEL3(3)),6);
|
|||
| 999 | markw | ||
| 1004 | markw | c0 := resize(unsigned(POKEY_CHANNEL0(0)),6) + resize(unsigned(POKEY_CHANNEL0(1)),6) + resize(unsigned(POKEY_CHANNEL0(2)),6) + resize(unsigned(POKEY_CHANNEL0(3)),6);
|
|
c1 := resize(unsigned(POKEY_CHANNEL1(0)),6) + resize(unsigned(POKEY_CHANNEL1(1)),6) + resize(unsigned(POKEY_CHANNEL1(2)),6) + resize(unsigned(POKEY_CHANNEL1(3)),6);
|
|||
c2 := resize(unsigned(POKEY_CHANNEL2(0)),6) + resize(unsigned(POKEY_CHANNEL2(1)),6) + resize(unsigned(POKEY_CHANNEL2(2)),6) + resize(unsigned(POKEY_CHANNEL2(3)),6);
|
|||
c3 := resize(unsigned(POKEY_CHANNEL3(0)),6) + resize(unsigned(POKEY_CHANNEL3(1)),6) + resize(unsigned(POKEY_CHANNEL3(2)),6) + resize(unsigned(POKEY_CHANNEL3(3)),6);
|
|||
| 1008 | markw | ||
| 1012 | markw | if CHANNEL_MODE_REG ='1' then
|
|
sum0 := c0;
|
|||
sum1 := c1;
|
|||
sum2 := c2;
|
|||
sum3 := c3;
|
|||
else
|
|||
sum0 := p0;
|
|||
sum1 := p1;
|
|||
sum2 := p2;
|
|||
sum3 := p3;
|
|||
| 999 | markw | end if;
|
|
CHANNEL0SUM_NEXT <= sum0;
|
|||
CHANNEL1SUM_NEXT <= sum1;
|
|||
CHANNEL2SUM_NEXT <= sum2;
|
|||
CHANNEL3SUM_NEXT <= sum3;
|
|||
end process;
|
|||
| 714 | markw | pokey_mixer_both : entity work.pokey_mixer_mux
|
|
PORT MAP(CLK => CLK,
|
|||
| 1220 | markw | RESET_N => RESET_N,
|
|
| 999 | markw | CHANNEL_0 => CHANNEL0SUM_REG,
|
|
CHANNEL_1 => CHANNEL1SUM_REG,
|
|||
CHANNEL_2 => CHANNEL2SUM_REG,
|
|||
CHANNEL_3 => CHANNEL3SUM_REG,
|
|||
| 1012 | markw | VOLUME_OUT_0 => POKEY_AUDIO_0,
|
|
VOLUME_OUT_1 => POKEY_AUDIO_1,
|
|||
VOLUME_OUT_2 => POKEY_AUDIO_2,
|
|||
VOLUME_OUT_3 => POKEY_AUDIO_3,
|
|||
| 1203 | markw | PROFILE_ADDR => POKEY_PROFILE_ADDR,
|
|
PROFILE_REQUEST => POKEY_PROFILE_REQUEST,
|
|||
PROFILE_READY => POKEY_PROFILE_READY,
|
|||
PROFILE_DATA => flash_do_slow(15 downto 0)
|
|||
| 999 | markw | );
|
|
| 1203 | markw | flash_off : if enable_flash=0 generate
|
|
shared_pokey_mixer : entity work.pokey_mixer
|
|||
port map
|
|||
(
|
|||
sum => unsigned(pokey_profile_addr),
|
|||
saturate => saturate_reg,
|
|||
VOLUME_OUT_NEXT => flash_do_slow(15 downto 0)
|
|||
);
|
|||
POKEY_PROFILE_READY <= '1';
|
|||
end generate;
|
|||
| 999 | markw | --------------------------------------------------------
|
|
| 1012 | markw | -- PRIMARY POKEY GTIA_VOLUME_
|
|
| 999 | markw | --------------------------------------------------------
|
|
| 714 | markw | pokey1 : entity work.pokey
|
|
| 1239 | markw | GENERIC MAP
|
|
(
|
|||
| 1396 | markw | custom_keyboard_scan => enable_iox
|
|
| 1239 | markw | )
|
|
| 714 | markw | PORT MAP(CLK => CLK,
|
|
ENABLE_179 => ENABLE_CYCLE,
|
|||
| 1004 | markw | WR_EN => POKEY_WRITE_ENABLE(0),
|
|
| 714 | markw | RESET_N => RESET_N,
|
|
SIO_IN1 => SIO_RXD,
|
|||
SIO_CLOCKIN_IN => SIO_CLOCKIN_IN,
|
|||
SIO_CLOCKIN_OUT => SIO_CLOCKIN_OUT,
|
|||
SIO_CLOCKIN_OE => SIO_CLOCKIN_OE,
|
|||
ADDR => ADDR_IN(3 DOWNTO 0),
|
|||
DATA_IN => WRITE_DATA(7 DOWNTO 0),
|
|||
keyboard_response => KEYBOARD_RESPONSE,
|
|||
| 1396 | markw | POT_IN => PADDLE_ADJ,
|
|
| 1004 | markw | IRQ_N_OUT => POKEY_IRQ(0),
|
|
| 714 | markw | SIO_OUT1 => SIO_TXD,
|
|
SIO_OUT2 => open,
|
|||
SIO_OUT3 => open,
|
|||
SIO_CLOCKOUT => SIO_CLOCKOUT,
|
|||
| 941 | markw | POT_RESET => POTRESET,
|
|
| 1004 | markw | CHANNEL_0_OUT => POKEY_CHANNEL0(0),
|
|
CHANNEL_1_OUT => POKEY_CHANNEL1(0),
|
|||
CHANNEL_2_OUT => POKEY_CHANNEL2(0),
|
|||
CHANNEL_3_OUT => POKEY_CHANNEL3(0),
|
|||
DATA_OUT => POKEY_DO(0),
|
|||
| 726 | markw | keyboard_scan => KEYBOARD_SCAN,
|
|
| 1239 | markw | keyboard_scan_enable => KEYBOARD_SCAN_ENABLE,
|
|
| 1224 | markw | keyboard_scan_update => KEYBOARD_SCAN_UPDATE
|
|
| 726 | markw | );
|
|
| 714 | markw | ||
| 1004 | markw | --------------------------------------------------------
|
|
-- POKEY 2-4
|
|||
--------------------------------------------------------
|
|||
POKEY_OFF:
|
|||
for I in pokeys to 3 generate
|
|||
POKEY_CHANNEL0(I) <= (others=>'0');
|
|||
POKEY_CHANNEL1(I) <= (others=>'0');
|
|||
POKEY_CHANNEL2(I) <= (others=>'0');
|
|||
POKEY_CHANNEL3(I) <= (others=>'0');
|
|||
POKEY_IRQ(I) <= '1';
|
|||
POKEY_DO(I) <= (others=>'0');
|
|||
end generate POKEY_OFF;
|
|||
| 990 | markw | ||
| 1004 | markw | POKEY_ON:
|
|
for I in 1 to pokeys-1 generate
|
|||
pokeyx : entity work.pokey
|
|||
GENERIC MAP
|
|||
(
|
|||
custom_keyboard_scan => 2
|
|||
)
|
|||
PORT MAP(CLK => CLK,
|
|||
ENABLE_179 => ENABLE_CYCLE,
|
|||
WR_EN => POKEY_WRITE_ENABLE(I),
|
|||
RESET_N => RESET_N,
|
|||
ADDR => ADDR_IN(3 DOWNTO 0),
|
|||
DATA_IN => WRITE_DATA(7 DOWNTO 0),
|
|||
CHANNEL_0_OUT => POKEY_CHANNEL0(I),
|
|||
CHANNEL_1_OUT => POKEY_CHANNEL1(I),
|
|||
CHANNEL_2_OUT => POKEY_CHANNEL2(I),
|
|||
CHANNEL_3_OUT => POKEY_CHANNEL3(I),
|
|||
DATA_OUT => POKEY_DO(I),
|
|||
SIO_IN1 => '1',
|
|||
IRQ_N_OUT => POKEY_IRQ(I),
|
|||
keyboard_response => "00",
|
|||
pot_in=>"00000000");
|
|||
end generate POKEY_ON;
|
|||
| 1009 | markw | ||
| 1275 | markw | --------------
|
|
-- SID or PSG!
|
|||
--------------
|
|||
sidpsg_on : if enable_sid=1 or enable_psg=1 generate
|
|||
clockgen1 : entity work.clockgen
|
|||
PORT MAP
|
|||
(
|
|||
CLK => CLK,
|
|||
| 1292 | markw | RESET_N => (RESET_N and (PAL_REG xnor PAL_NEXT)),
|
|
| 1275 | markw | ||
| 1292 | markw | PAL => pal_reg,
|
|
| 1275 | markw | PHI2 => ENABLE_CYCLE,
|
|
MHZ1 => MHZ1_ENABLE,
|
|||
MHZ2 => MHZ2_ENABLE
|
|||
);
|
|||
end generate sidpsg_on;
|
|||
| 1009 | markw | --------------------------------------------------------
|
|
-- SID
|
|||
--------------------------------------------------------
|
|||
| 1015 | markw | sid_off : if enable_sid=0 generate
|
|
SID_AUDIO(0) <= (others=>'0');
|
|||
SID_AUDIO(1) <= (others=>'0');
|
|||
SID_DO(0) <= (others=>'0');
|
|||
SID_DO(1) <= (others=>'0');
|
|||
| 1273 | markw | SID_DRIVE_DO(0) <= '0';
|
|
SID_DRIVE_DO(1) <= '0';
|
|||
| 1015 | markw | end generate sid_off;
|
|
| 1009 | markw | ||
| 1010 | markw | sid_on : if enable_sid=1 generate
|
|
| 1195 | markw | ||
sid_clk_on : if ext_clk_enable=0 generate
|
|||
| 1275 | markw | SID_CLK_ENABLE <= MHZ1_ENABLE;
|
|
| 1195 | markw | end generate sid_clk_on;
|
|
| 1009 | markw | ||
| 1195 | markw | sid_clk_off : if ext_clk_enable=1 generate
|
|
synchronizer_sid_clk : entity work.synchronizer
|
|||
port map (clk=>clk, raw=>PADDLE(6), sync=>SID_ENABLE_NEXT);
|
|||
SID_CLK_ENABLE <= SID_ENABLE_NEXT and not(SID_ENABLE_REG);
|
|||
process(clk,reset_n)
|
|||
begin
|
|||
if (reset_n='0') then
|
|||
SID_ENABLE_REG <= '0';
|
|||
elsif (clk'event and clk='1') then
|
|||
SID_ENABLE_REG <= SID_ENABLE_NEXT;
|
|||
end if;
|
|||
end process;
|
|||
end generate sid_clk_off;
|
|||
| 1247 | markw | f_distortion_mux : entity work.SID_f_distortion_mux
|
|
port map
|
|||
(
|
|||
clk=>clk,
|
|||
reset_n=>reset_n,
|
|||
| 1262 | markw | state1=>SID1_FILTER_BP(17 downto 8),
|
|
state2=>SID1_FILTER_HP(17 downto 8),
|
|||
state3=>SID2_FILTER_BP(17 downto 8),
|
|||
state4=>SID2_FILTER_HP(17 downto 8),
|
|||
| 1260 | markw | SIDTYPE12 => SID_FILTER1_REG(0),
|
|
SIDTYPE34 => SID_FILTER2_REG(0),
|
|||
| 1247 | markw | f_raw12=>unsigned(SID1_F_RAW),
|
|
f_raw34=>unsigned(SID2_F_RAW),
|
|||
f_distorted1=>SID1_F_BP,
|
|||
f_distorted2=>SID1_F_HP,
|
|||
f_distorted3=>SID2_F_BP,
|
|||
f_distorted4=>SID2_F_HP
|
|||
);
|
|||
| 1062 | markw | sid1 : entity work.SID_top
|
|
| 1253 | markw | GENERIC MAP
|
|
(
|
|||
wave_base => std_logic_vector(to_unsigned(sid_wave_base,17))
|
|||
)
|
|||
| 1009 | markw | PORT MAP(
|
|
CLK => CLK,
|
|||
| 1062 | markw | RESET_N => RESET_N,
|
|
ENABLE => SID_CLK_ENABLE, --1MHz
|
|||
WRITE_ENABLE => SID_WRITE_ENABLE(0),
|
|||
| 1325 | markw | READ_ENABLE => SID_READ_ENABLE(0),
|
|
| 1009 | markw | ADDR => ADDR_IN(4 downto 0),
|
|
| 1062 | markw | DI => WRITE_DATA(7 downto 0),
|
|
DO => SID_DO(0),
|
|||
| 1273 | markw | DRIVE_DO => SID_DRIVE_DO(0),
|
|
| 1062 | markw | --POT_X => (others=>'0'),
|
|
--POT_Y => (others=>'0'),
|
|||
--EXTFILTER_EN => '0',
|
|||
| 1178 | markw | AUDIO => SID_AUDIO(0),
|
|
| 1325 | markw | SIDTYPE => SID_FILTER1_REG(0),
|
|
EXT => "0"&SID_FILTER1_REG(1),
|
|||
EXT_ADC => (others=>'0'),
|
|||
| 1208 | markw | ||
| 1325 | markw | POT_X => '0',
|
|
POT_Y => '0',
|
|||
| 1208 | markw | rom_addr => sid_flash1_addr,
|
|
rom_data => flash_do_slow,
|
|||
rom_request => sid_flash1_romrequest,
|
|||
| 1247 | markw | rom_ready => sid_flash1_romready,
|
|
FILTER_BP_OUT => SID1_FILTER_BP,
|
|||
FILTER_HP_OUT => SID1_FILTER_HP,
|
|||
FILTER_F_OUT => SID1_F_RAW,
|
|||
FILTER_F_BP => std_logic_vector(SID1_F_BP),
|
|||
FILTER_F_HP => std_logic_vector(SID1_F_HP)
|
|||
| 1009 | markw | );
|
|
| 1062 | markw | sid2 : entity work.SID_top
|
|
| 1253 | markw | GENERIC MAP
|
|
(
|
|||
wave_base => std_logic_vector(to_unsigned(sid_wave_base,17))
|
|||
)
|
|||
| 1009 | markw | PORT MAP(
|
|
CLK => CLK,
|
|||
| 1062 | markw | RESET_N => RESET_N,
|
|
ENABLE => SID_CLK_ENABLE, --1MHz
|
|||
WRITE_ENABLE => SID_WRITE_ENABLE(1),
|
|||
| 1325 | markw | READ_ENABLE => SID_READ_ENABLE(1),
|
|
| 1009 | markw | ADDR => ADDR_IN(4 downto 0),
|
|
| 1062 | markw | DI => WRITE_DATA(7 downto 0),
|
|
DO => SID_DO(1),
|
|||
| 1273 | markw | DRIVE_DO => SID_DRIVE_DO(1),
|
|
| 1062 | markw | --POT_X => (others=>'0'),
|
|
--POT_Y => (others=>'0'),
|
|||
--EXTFILTER_EN => '0',
|
|||
| 1178 | markw | AUDIO => SID_AUDIO(1),
|
|
| 1325 | markw | SIDTYPE => SID_FILTER2_REG(0),
|
|
EXT => "0"&SID_FILTER2_REG(1),
|
|||
EXT_ADC => (others=>'0'),
|
|||
| 1208 | markw | ||
| 1325 | markw | POT_X => '0',
|
|
POT_Y => '0',
|
|||
| 1208 | markw | rom_addr => sid_flash2_addr,
|
|
rom_data => flash_do_slow,
|
|||
rom_request => sid_flash2_romrequest,
|
|||
| 1247 | markw | rom_ready => sid_flash2_romready,
|
|
FILTER_BP_OUT => SID2_FILTER_BP,
|
|||
FILTER_HP_OUT => SID2_FILTER_HP,
|
|||
FILTER_F_OUT => SID2_F_RAW,
|
|||
FILTER_F_BP => std_logic_vector(SID2_F_BP),
|
|||
FILTER_F_HP => std_logic_vector(SID2_F_HP)
|
|||
| 1009 | markw | );
|
|
| 1012 | markw | end generate sid_on;
|
|
| 1004 | markw | --------------------------------------------------------
|
|
| 1035 | markw | -- PSG
|
|
| 1009 | markw | --------------------------------------------------------
|
|
| 1039 | markw | psg_off : if enable_psg=0 generate
|
|
| 1035 | markw | PSG_AUDIO(0) <= (others=>'0');
|
|
PSG_AUDIO(1) <= (others=>'0');
|
|||
PSG_DO(0) <= (others=>'0');
|
|||
PSG_DO(1) <= (others=>'0');
|
|||
| 1039 | markw | end generate psg_off;
|
|
| 1015 | markw | ||
| 1051 | markw | -- VERY approx (for now) PSG master clock!
|
|
| 1039 | markw | psg_on : if enable_psg=1 generate
|
|
| 1195 | markw | psg_clk_on : if ext_clk_enable=0 generate
|
|
| 1275 | markw | PSG_ENABLE_2MHz <= MHZ2_ENABLE;
|
|
PSG_ENABLE_1MHz <= MHZ1_ENABLE;
|
|||
| 1039 | markw | ||
| 1275 | markw | process(PSG_FREQ_REG,PSG_ENABLE_2MHz,PSG_ENABLE_1MHz,ENABLE_CYCLE)
|
|
| 1051 | markw | begin
|
|
PSG_ENABLE <= '0';
|
|||
case PSG_FREQ_REG is
|
|||
when "00"=>
|
|||
PSG_ENABLE <= PSG_ENABLE_2MHz;
|
|||
when "01"=>
|
|||
PSG_ENABLE <= PSG_ENABLE_1MHz;
|
|||
when others=>
|
|||
| 1163 | markw | PSG_ENABLE <= ENABLE_CYCLE;
|
|
| 1051 | markw | end case;
|
|
end process;
|
|||
| 1195 | markw | end generate psg_clk_on;
|
|
psg_clk_off : if ext_clk_enable=1 generate
|
|||
synchronizer_sid_clk : entity work.synchronizer
|
|||
port map (clk=>clk, raw=>PADDLE(7), sync=>PSG_ENABLE_NEXT);
|
|||
PSG_ENABLE <= PSG_ENABLE_NEXT and not(PSG_ENABLE_REG);
|
|||
process(clk,reset_n)
|
|||
begin
|
|||
if (reset_n='0') then
|
|||
PSG_ENABLE_REG <= '0';
|
|||
elsif (clk'event and clk='1') then
|
|||
PSG_ENABLE_REG <= PSG_ENABLE_NEXT;
|
|||
end if;
|
|||
end process;
|
|||
end generate psg_clk_off;
|
|||
| 1051 | markw | process(PSG_STEREOMODE_REG)
|
|
begin
|
|||
| 1139 | markw | PSG_MIX1 <= (others=>'0');
|
|
PSG_MIX2 <= (others=>'0');
|
|||
| 1051 | markw | ||
case PSG_STEREOMODE_REG is
|
|||
when "00"=>
|
|||
| 1139 | markw | PSG_MIX1 <= "111111";
|
|
PSG_MIX2 <= "111111";
|
|||
| 1051 | markw | when "01"=>
|
|
| 1139 | markw | PSG_MIX1 <= "110110";
|
|
PSG_MIX2 <= "011011";
|
|||
| 1051 | markw | when "10"=>
|
|
| 1139 | markw | PSG_MIX1 <= "101101";
|
|
PSG_MIX2 <= "011011";
|
|||
| 1051 | markw | when others=>
|
|
| 1139 | markw | PSG_MIX1 <= "111000";
|
|
PSG_MIX2 <= "000111";
|
|||
| 1051 | markw | end case;
|
|
end process;
|
|||
| 1039 | markw | PSG_1 : entity work.PSG_top
|
|
| 1009 | markw | port map(
|
|
clk=>clk,
|
|||
reset_n=>reset_n,
|
|||
| 1039 | markw | enable=>psg_enable,
|
|
| 1009 | markw | addr=>addr_in(3 downto 0),
|
|
| 1035 | markw | write_enable=>PSG_WRITE_ENABLE(0),
|
|
| 1051 | markw | ENVELOPE32=>not(PSG_ENVELOPE16_REG),
|
|
| 1009 | markw | di=>write_data,
|
|
| 1035 | markw | do=>PSG_DO(0),
|
|
| 1139 | markw | channel_a_vol => PSG_CHANNEL(0),
|
|
channel_b_vol => PSG_CHANNEL(1),
|
|||
| 1220 | markw | channel_c_vol => PSG_CHANNEL(2),
|
|
channel_changed => PSG_CHANGED(0)
|
|||
| 1009 | markw | );
|
|
| 1039 | markw | PSG_2 : entity work.PSG_top
|
|
| 1009 | markw | port map(
|
|
clk=>clk,
|
|||
reset_n=>reset_n,
|
|||
| 1039 | markw | enable=>psg_enable,
|
|
| 1009 | markw | addr=>addr_in(3 downto 0),
|
|
| 1035 | markw | write_enable=>PSG_WRITE_ENABLE(1),
|
|
| 1051 | markw | ENVELOPE32=>not(PSG_ENVELOPE16_REG),
|
|
| 1009 | markw | di=>write_data,
|
|
| 1035 | markw | do=>PSG_DO(1),
|
|
| 1139 | markw | channel_a_vol => PSG_CHANNEL(3),
|
|
channel_b_vol => PSG_CHANNEL(4),
|
|||
| 1220 | markw | channel_c_vol => PSG_CHANNEL(5),
|
|
channel_changed => PSG_CHANGED(1)
|
|||
| 1009 | markw | );
|
|
| 1139 | markw | ||
vol_profile1 : entity work.PSG_volume_profile
|
|||
PORT MAP
|
|||
(
|
|||
CLK => clk,
|
|||
RESET_N => reset_n,
|
|||
CHANNEL_1A => PSG_CHANNEL(0),
|
|||
CHANNEL_1B => PSG_CHANNEL(1),
|
|||
CHANNEL_1C => PSG_CHANNEL(2),
|
|||
| 1220 | markw | CHANNEL_1_CHANGED => PSG_CHANGED(0),
|
|
| 1139 | markw | CHANNEL_2A => PSG_CHANNEL(3),
|
|
CHANNEL_2B => PSG_CHANNEL(4),
|
|||
CHANNEL_2C => PSG_CHANNEL(5),
|
|||
| 1220 | markw | CHANNEL_2_CHANGED => PSG_CHANGED(1),
|
|
| 1139 | markw | ||
CHANNEL_MASK_1=>PSG_MIX1, --LABC:RABC
|
|||
CHANNEL_MASK_2=>PSG_MIX2,
|
|||
| 1143 | markw | ||
| 1139 | markw | AUDIO_OUT_1 => PSG_AUDIO(0),
|
|
| 1201 | markw | AUDIO_OUT_2 => PSG_AUDIO(1),
|
|
--PROFILE_SELECT=>PSG_PROFILESEL_REG,
|
|||
PROFILE_ADDR => PSG_PROFILE_ADDR,
|
|||
PROFILE_REQUEST => PSG_PROFILE_REQUEST,
|
|||
PROFILE_READY => PSG_PROFILE_READY,
|
|||
PROFILE_DATA => flash_do_slow(15 downto 0)
|
|||
| 1139 | markw | );
|
|
| 1039 | markw | end generate psg_on;
|
|
| 1009 | markw | ||
--------------------------------------------------------
|
|||
| 1004 | markw | -- COVOX
|
|
--------------------------------------------------------
|
|||
| 1015 | markw | covox_off : if enable_covox=0 generate
|
|
| 1160 | markw | SAMPLE_IRQ <= '0';
|
|
| 1015 | markw | SAMPLE_DO <= (others=>'0');
|
|
| 1103 | markw | SAMPLE_AUDIO(0) <= (others=>'0');
|
|
SAMPLE_AUDIO(1) <= (others=>'0');
|
|||
| 1178 | markw | ADPCM_STEP_REQUEST <= '0';
|
|
| 1015 | markw | end generate covox_off;
|
|
| 1154 | markw | covox_on : if enable_covox=1 and enable_sample=0 generate
|
|
| 1160 | markw | SAMPLE_IRQ <= '0';
|
|
| 1178 | markw | ADPCM_STEP_REQUEST <= '0';
|
|
| 1039 | markw | ||
| 1160 | markw | covox1 : entity work.covox_top
|
|
PORT MAP(
|
|||
CLK => CLK,
|
|||
RESET_N => RESET_N,
|
|||
| 1154 | markw | ||
| 1160 | markw | WRITE_ENABLE => SAMPLE_WRITE_ENABLE,
|
|
ADDR => ADDR_IN(1 downto 0),
|
|||
DI => WRITE_DATA(7 downto 0),
|
|||
DO => SAMPLE_DO,
|
|||
AUDIO0 => SAMPLE_AUDIO(0),
|
|||
AUDIO1 => SAMPLE_AUDIO(1)
|
|||
);
|
|||
| 1012 | markw | end generate covox_on;
|
|
| 1154 | markw | ||
----------------------------------------
|
|||
sample_on : if enable_sample=1 generate
|
|||
| 1160 | markw | sample1 : entity work.sample_top
|
|
PORT MAP(
|
|||
CLK => CLK,
|
|||
RESET_N => RESET_N,
|
|||
| 1154 | markw | ||
| 1163 | markw | ENABLE => ENABLE_DOUBLE_CYCLE,
|
|
| 1168 | markw | REQUEST => REQUEST,
|
|
| 1154 | markw | ||
| 1160 | markw | WRITE_ENABLE => SAMPLE_WRITE_ENABLE,
|
|
ADDR => ADDR_IN(4 downto 0),
|
|||
DI => WRITE_DATA(7 downto 0),
|
|||
DO => SAMPLE_DO,
|
|||
AUDIO0 => SAMPLE_AUDIO(0),
|
|||
AUDIO1 => SAMPLE_AUDIO(1),
|
|||
IRQ => SAMPLE_IRQ,
|
|||
| 1158 | markw | ||
| 1162 | markw | RAM_ADDR => SAMPLE_RAM_ADDRESS,
|
|
| 1160 | markw | RAM_WRITE_ENABLE => SAMPLE_RAM_WRITE_ENABLE,
|
|
| 1168 | markw | RAM_DATA => SAMPLE_RAM_DATA,
|
|
ADPCM_STEP_ADDR => ADPCM_STEP_ADDR,
|
|||
ADPCM_STEP_REQUEST => ADPCM_STEP_REQUEST,
|
|||
ADPCM_STEP_READY => ADPCM_STEP_READY,
|
|||
| 1175 | markw | ADPCM_STEP_VALUE => FLASH_DO_SLOW(14 downto 0)
|
|
| 1160 | markw | );
|
|
| 1155 | markw | ||
| 1160 | markw | sample_ram_inst : entity work.generic_ram_infer
|
|
GENERIC MAP
|
|||
(
|
|||
| 1162 | markw | ADDRESS_WIDTH => 16,
|
|
SPACE => 43008,
|
|||
DATA_WIDTH => 8
|
|||
)
|
|||
| 1160 | markw | PORT MAP
|
|
(
|
|||
clock => clk,
|
|||
reset_n => reset_n,
|
|||
data => write_data,
|
|||
address => sample_ram_address,
|
|||
we => sample_ram_write_enable,
|
|||
q => sample_ram_data
|
|||
);
|
|||
| 1154 | markw | ||
end generate sample_on;
|
|||
| 999 | markw | ||
-------------------------------------------------------
|
|||
-- COMMON, data bus
|
|||
| 1012 | markw | --
|
|
--
|
|||
-- memory map
|
|||
-- d200 - pokey0
|
|||
-- d210 - pokey1
|
|||
-- d220 - pokey2
|
|||
-- d230 - pokey3
|
|||
-- d240 - sid1
|
|||
-- d260 - sid2
|
|||
-- d280 - covox/sample
|
|||
-- d2a0 - ym1 (mapped as 0-f, rather than convoluted 0/1)
|
|||
-- d2b0 - ym2
|
|||
-- d2f0 - config (write 0x3f to d21c to map it in d210, for low bit devices)
|
|||
| 1026 | markw | process(CONFIG_ENABLE_REG,ADDR_IN,addr_decoded4,FANCY_ENABLE)
|
|
| 1003 | markw | variable addr_bits : std_logic_vector(3 downto 0);
|
|
| 999 | markw | begin
|
|
| 1003 | markw | -- choose which bank
|
|
| 1005 | markw | addr_bits := (others=>'0');
|
|
| 1015 | markw | addr_bits(3 downto 0) := ADDR_IN(7 downto 4);
|
|
| 1003 | markw | ||
| 1012 | markw | if (fancy_enable='0') then
|
|
addr_bits := (others=>'0');
|
|||
end if;
|
|||
| 1103 | markw | ||
| 1131 | markw | if (addr_in(7 downto 4)=x"f") then -- TODO: tweak...
|
|
| 1103 | markw | addr_bits := x"0"; --disable config access here
|
|
end if;
|
|||
| 1200 | markw | ||
| 1026 | markw | if ((config_enable_reg='1' and addr_bits="0001") or (addr_bits(3 downto 2) = "00" and addr_decoded4(12)='1')) then
|
|
| 1012 | markw | addr_bits := x"f";
|
|
end if;
|
|||
DEVICE_ADDR <= addr_bits;
|
|||
| 1003 | markw | end process;
|
|
| 725 | markw | ||
| 1003 | markw | process(
|
|
DEVICE_ADDR,
|
|||
| 1004 | markw | POKEY_DO,
|
|
| 1334 | markw | SID_DO,SID_DRIVE_DO,
|
|
| 1035 | markw | PSG_DO,
|
|
| 1003 | markw | SAMPLE_DO,
|
|
CONFIG_DO,
|
|||
write_n,
|
|||
| 1200 | markw | request,
|
|
| 1351 | markw | RESTRICT_CAPABILITY_REG, readreq_s, writereq_s
|
|
| 1003 | markw | )
|
|
variable writereq : std_logic;
|
|||
| 1325 | markw | variable readreq : std_logic;
|
|
| 1200 | markw | variable enable_region : std_logic;
|
|
| 999 | markw | begin
|
|
| 1003 | markw | writereq := not(write_n) and request;
|
|
| 1325 | markw | readreq := write_n and request;
|
|
| 1003 | markw | ||
| 1004 | markw | POKEY_WRITE_ENABLE <= (others=>'0');
|
|
SID_WRITE_ENABLE <= (others=>'0');
|
|||
| 1325 | markw | SID_READ_ENABLE <= (others=>'0');
|
|
| 1035 | markw | PSG_WRITE_ENABLE <= (others=>'0');
|
|
| 1003 | markw | SAMPLE_WRITE_ENABLE <= '0';
|
|
| 999 | markw | CONFIG_WRITE_ENABLE <= '0';
|
|
| 1200 | markw | enable_region :='0';
|
|
| 1003 | markw | ||
DO_MUX <= (others =>'0');
|
|||
| 1273 | markw | DRIVE_DO_MUX <= '1';
|
|
| 1003 | markw | ||
case DEVICE_ADDR is
|
|||
| 1200 | markw | when "0001" =>
|
|
enable_region := RESTRICT_CAPABILITY_REG(0) or RESTRICT_CAPABILITY_REG(1);
|
|||
| 1004 | markw | DO_MUX <= POKEY_DO(1);
|
|
| 1351 | markw | POKEY_WRITE_ENABLE(1) <= writereq_s;
|
|
| 1200 | markw | when "0010" =>
|
|
enable_region := RESTRICT_CAPABILITY_REG(1);
|
|||
| 1004 | markw | DO_MUX <= POKEY_DO(2);
|
|
| 1351 | markw | POKEY_WRITE_ENABLE(2) <= writereq_s;
|
|
| 1200 | markw | when "0011" =>
|
|
enable_region := RESTRICT_CAPABILITY_REG(1);
|
|||
| 1004 | markw | DO_MUX <= POKEY_DO(3);
|
|
| 1351 | markw | POKEY_WRITE_ENABLE(3) <= writereq_s;
|
|
| 1200 | markw | when "0100"|"0101" =>
|
|
enable_region := RESTRICT_CAPABILITY_REG(2);
|
|||
| 1004 | markw | DO_MUX <= SID_DO(0);
|
|
| 1273 | markw | DRIVE_DO_MUX <= SID_DRIVE_DO(0);
|
|
| 1351 | markw | SID_WRITE_ENABLE(0) <= writereq_s;
|
|
SID_READ_ENABLE(0) <= readreq_s;
|
|||
| 1200 | markw | when "0110"|"0111" =>
|
|
enable_region := RESTRICT_CAPABILITY_REG(2);
|
|||
| 1004 | markw | DO_MUX <= SID_DO(1);
|
|
| 1273 | markw | DRIVE_DO_MUX <= SID_DRIVE_DO(1);
|
|
| 1351 | markw | SID_WRITE_ENABLE(1) <= writereq_s;
|
|
SID_READ_ENABLE(0) <= readreq_s;
|
|||
| 1200 | markw | when "1000"|"1001" =>
|
|
enable_region := RESTRICT_CAPABILITY_REG(4);
|
|||
| 1012 | markw | DO_MUX <= SAMPLE_DO;
|
|
| 1351 | markw | SAMPLE_WRITE_ENABLE <= writereq_s;
|
|
| 1207 | markw | when "1010" =>
|
|
| 1200 | markw | enable_region := RESTRICT_CAPABILITY_REG(3);
|
|
| 1035 | markw | DO_MUX <= PSG_DO(0);
|
|
| 1351 | markw | PSG_WRITE_ENABLE(0) <= writereq_s;
|
|
| 1207 | markw | when "1011" =>
|
|
| 1200 | markw | enable_region := RESTRICT_CAPABILITY_REG(3);
|
|
| 1035 | markw | DO_MUX <= PSG_DO(1);
|
|
| 1351 | markw | PSG_WRITE_ENABLE(1) <= writereq_s;
|
|
| 1200 | markw | when "1111" =>
|
|
enable_region := '1';
|
|||
| 1003 | markw | DO_MUX <= CONFIG_DO;
|
|
| 1351 | markw | CONFIG_WRITE_ENABLE <= writereq_s;
|
|
| 1003 | markw | when others =>
|
|
end case;
|
|||
| 1200 | markw | ||
| 1351 | markw | readreq_s <= readreq and enable_region;
|
|
writereq_s <= writereq and enable_region;
|
|||
| 1200 | markw | if enable_region='0' then
|
|
DO_MUX <= POKEY_DO(0);
|
|||
POKEY_WRITE_ENABLE(0) <= writereq;
|
|||
end if;
|
|||
| 999 | markw | end process;
|
|
| 988 | markw | ||
| 999 | markw | -------------------------------------------------------
|
|
-- Configuration
|
|||
| 988 | markw | ||
| 999 | markw | process(clk,reset_n)
|
|
begin
|
|||
if (reset_n='0') then
|
|||
| 1196 | markw | if detect_right_on_by_default=1 then
|
|
DETECT_RIGHT_REG <= '1';
|
|||
else
|
|||
DETECT_RIGHT_REG <= '0';
|
|||
end if;
|
|||
| 1033 | markw | IRQ_EN_REG <= '0';
|
|
| 1012 | markw | CHANNEL_MODE_REG <= '0';
|
|
| 1325 | markw | if saturate_on_by_default=1 then
|
|
SATURATE_REG <= '1';
|
|||
else
|
|||
SATURATE_REG <= '0';
|
|||
end if;
|
|||
| 1012 | markw | POST_DIVIDE_REG <= "10100000"; -- 1/2 5v, 3/4 1v
|
|
GTIA_ENABLE_REG <= "1100"; -- external only
|
|||
| 1457 | markw | ADC_VOLUME_REG <= "11"; -- 0=silent,1=1x,2=2x,3=4x
|
|
SIO_DATA_VOLUME_REG <= "10"; -- 0=silent,1=quieter,2=normal,3=louder
|
|||
| 999 | markw | CONFIG_ENABLE_REG <= '0';
|
|
| 1012 | markw | VERSION_LOC_REG <= (others=>'0');
|
|
| 1292 | markw | PAL_REG <= '1';
|
|
| 1051 | markw | PSG_FREQ_REG <= "00"; --2MHz
|
|
PSG_STEREOMODE_REG <= "01"; --Polish
|
|||
| 1143 | markw | PSG_PROFILESEL_REG <= "00"; --Simple log
|
|
| 1051 | markw | PSG_ENVELOPE16_REG <= '0'; --32 step
|
|
| 1284 | markw | SID_FILTER1_REG <= "10"; -- 0=8580,1=6581,2=digifix
|
|
SID_FILTER2_REG <= "10"; -- 0=8580,1=6581,2=digifix
|
|||
| 1200 | markw | RESTRICT_CAPABILITY_REG <= (others=>'1');
|
|
| 1344 | markw | CHANNEL_EN_REG <= (others=>'1');
|
|
| 999 | markw | elsif (clk'event and clk='1') then
|
|
| 1107 | markw | DETECT_RIGHT_REG <= DETECT_RIGHT_NEXT;
|
|
| 1033 | markw | IRQ_EN_REG <= IRQ_EN_NEXT;
|
|
| 999 | markw | CHANNEL_MODE_REG <= CHANNEL_MODE_NEXT;
|
|
SATURATE_REG <= SATURATE_NEXT;
|
|||
POST_DIVIDE_REG <= POST_DIVIDE_NEXT;
|
|||
| 1003 | markw | GTIA_ENABLE_REG <= GTIA_ENABLE_NEXT;
|
|
| 1457 | markw | ADC_VOLUME_REG <= ADC_VOLUME_NEXT;
|
|
SIO_DATA_VOLUME_REG <= SIO_DATA_VOLUME_NEXT;
|
|||
| 999 | markw | CONFIG_ENABLE_REG <= CONFIG_ENABLE_NEXT;
|
|
| 1012 | markw | VERSION_LOC_REG <= VERSION_LOC_NEXT;
|
|
| 1292 | markw | PAL_REG <= PAL_NEXT;
|
|
| 1051 | markw | PSG_FREQ_REG <= PSG_FREQ_NEXT;
|
|
PSG_STEREOMODE_REG <= PSG_STEREOMODE_NEXT;
|
|||
| 1143 | markw | PSG_PROFILESEL_REG <= PSG_PROFILESEL_NEXT;
|
|
| 1051 | markw | PSG_ENVELOPE16_REG <= PSG_ENVELOPE16_NEXT;
|
|
| 1188 | markw | SID_FILTER1_REG <= SID_FILTER1_NEXT;
|
|
SID_FILTER2_REG <= SID_FILTER2_NEXT;
|
|||
| 1200 | markw | RESTRICT_CAPABILITY_REG <= RESTRICT_CAPABILITY_NEXT;
|
|
| 1344 | markw | CHANNEL_EN_REG <= CHANNEL_EN_NEXT;
|
|
| 999 | markw | end if;
|
|
end process;
|
|||
| 988 | markw | ||
| 999 | markw | -- default config
|
|
| 1006 | markw | gen_config : if enable_config=1 generate
|
|
| 999 | markw | ||
decode_addr1 : entity work.complete_address_decoder
|
|||
| 1026 | markw | generic map(width=>4)
|
|
port map (addr_in=>ADDR_IN(3 downto 0), addr_decoded=>addr_decoded4);
|
|||
process(CONFIG_WRITE_ENABLE, WRITE_DATA, addr_decoded4,
|
|||
| 1107 | markw | SATURATE_REG,CHANNEL_MODE_REG,IRQ_EN_REG,DETECT_RIGHT_REG,
|
|
| 999 | markw | CONFIG_ENABLE_REG,
|
|
POST_DIVIDE_REG,
|
|||
| 1012 | markw | GTIA_ENABLE_REG,
|
|
| 1457 | markw | ADC_VOLUME_REG,
|
|
SIO_DATA_VOLUME_REG,
|
|||
| 1051 | markw | VERSION_LOC_REG,
|
|
PSG_FREQ_REG,
|
|||
PSG_STEREOMODE_REG,
|
|||
| 1143 | markw | PSG_PROFILESEL_REG,
|
|
| 1103 | markw | PSG_ENVELOPE16_REG,
|
|
| 1188 | markw | SID_FILTER1_REG, SID_FILTER2_REG,
|
|
| 1112 | markw | CPU_FLASH_REQUEST_REG,CPU_FLASH_WRITE_N_REG,CPU_FLASH_CFG_REG,CPU_FLASH_ADDR_REG,CPU_FLASH_DATA_REG,
|
|
| 1200 | markw | CPU_FLASH_COMPLETE,CONFIG_FLASH_COMPLETE,CONFIG_FLASH_ADDR,flash_do_slow,
|
|
| 1292 | markw | RESTRICT_CAPABILITY_REG,
|
|
| 1344 | markw | CHANNEL_EN_REG,
|
|
| 1292 | markw | PAL_REG
|
|
| 999 | markw | )
|
|
begin
|
|||
SATURATE_NEXT <= SATURATE_REG;
|
|||
CHANNEL_MODE_NEXT <= CHANNEL_MODE_REG;
|
|||
| 1033 | markw | IRQ_EN_NEXT <= IRQ_EN_REG;
|
|
| 1107 | markw | DETECT_RIGHT_NEXT <= DETECT_RIGHT_REG;
|
|
| 740 | markw | ||
| 999 | markw | POST_DIVIDE_NEXT <= POST_DIVIDE_REG;
|
|
| 1003 | markw | GTIA_ENABLE_NEXT <= GTIA_ENABLE_REG;
|
|
| 1457 | markw | ||
ADC_VOLUME_NEXT <= ADC_VOLUME_REG;
|
|||
SIO_DATA_VOLUME_NEXT <= SIO_DATA_VOLUME_REG;
|
|||
| 999 | markw | ||
CONFIG_ENABLE_NEXT <= CONFIG_ENABLE_REG;
|
|||
| 1012 | markw | VERSION_LOC_NEXT <= VERSION_LOC_REG;
|
|
| 1051 | markw | ||
PSG_FREQ_NEXT <= PSG_FREQ_REG;
|
|||
PSG_STEREOMODE_NEXT <= PSG_STEREOMODE_REG;
|
|||
| 1143 | markw | PSG_PROFILESEL_NEXT <= PSG_PROFILESEL_REG;
|
|
| 1051 | markw | PSG_ENVELOPE16_NEXT <= PSG_ENVELOPE16_REG;
|
|
| 1103 | markw | ||
| 1188 | markw | SID_FILTER1_NEXT <= SID_FILTER1_REG;
|
|
SID_FILTER2_NEXT <= SID_FILTER2_REG;
|
|||
| 1181 | markw | ||
| 1103 | markw | CPU_FLASH_REQUEST_NEXT <= CPU_FLASH_REQUEST_REG;
|
|
CPU_FLASH_WRITE_N_NEXT <= CPU_FLASH_WRITE_N_REG;
|
|||
| 1112 | markw | CPU_FLASH_CFG_NEXT <= CPU_FLASH_CFG_REG;
|
|
| 1103 | markw | CPU_FLASH_ADDR_NEXT <= CPU_FLASH_ADDR_REG;
|
|
CPU_FLASH_DATA_NEXT <= CPU_FLASH_DATA_REG;
|
|||
| 1200 | markw | RESTRICT_CAPABILITY_NEXT <= RESTRICT_CAPABILITY_REG;
|
|
| 1344 | markw | CHANNEL_EN_NEXT <= CHANNEL_EN_REG;
|
|
| 1103 | markw | ||
| 1292 | markw | PAL_NEXT <= PAL_REG;
|
|
| 1103 | markw | if (CPU_FLASH_COMPLETE='1') then
|
|
| 1175 | markw | CPU_FLASH_DATA_NEXT <= flash_do_slow;
|
|
| 1103 | markw | CPU_FLASH_REQUEST_NEXT <= '0';
|
|
end if;
|
|||
| 1107 | markw | ||
if (enable_flash=1 and CONFIG_FLASH_COMPLETE='1') then
|
|||
case CONFIG_FLASH_ADDR is
|
|||
when "0"=>
|
|||
| 1175 | markw | SATURATE_NEXT <= flash_do_slow(0);
|
|
| 1107 | markw | -- 1 reserved...
|
|
| 1175 | markw | CHANNEL_MODE_NEXT <= flash_do_slow(2);
|
|
IRQ_EN_NEXT <= flash_do_slow(3);
|
|||
DETECT_RIGHT_NEXT <= flash_do_slow(4);
|
|||
| 1312 | markw | PAL_NEXT <= flash_do_slow(5);
|
|
-- 6-7 reserved
|
|||
| 1175 | markw | POST_DIVIDE_NEXT <= flash_do_slow(15 downto 8);
|
|
GTIA_ENABLE_NEXT <= flash_do_slow(19 downto 16);
|
|||
| 1457 | markw | ADC_VOLUME_NEXT <= flash_do_slow(21 downto 20);
|
|
SIO_DATA_VOLUME_NEXT <= flash_do_slow(23 downto 22);
|
|||
| 1175 | markw | PSG_FREQ_NEXT <= flash_do_slow(25 downto 24);
|
|
PSG_STEREOMODE_NEXT <= flash_do_slow(27 downto 26);
|
|||
PSG_ENVELOPE16_NEXT <= flash_do_slow(28);
|
|||
PSG_PROFILESEL_NEXT <= flash_do_slow(30 downto 29);
|
|||
| 1143 | markw | -- 31 reserved
|
|
| 1107 | markw | when "1" =>
|
|
| 1284 | markw | SID_FILTER1_NEXT <= flash_do_slow(1 downto 0);
|
|
-- 2-3 reserved
|
|||
SID_FILTER2_NEXT <= flash_do_slow(5 downto 4);
|
|||
-- 6-7 reserved
|
|||
| 1200 | markw | RESTRICT_CAPABILITY_NEXT <= flash_do_slow(12 downto 8);
|
|
-- 13-15 reserved
|
|||
| 1344 | markw | -- 16-23 reserved (used in sidmax)
|
|
CHANNEL_EN_NEXT <= flash_do_slow(28 downto 24);
|
|||
-- 29-31 reserved
|
|||
| 1107 | markw | when others =>
|
|
end case;
|
|||
elsif (CONFIG_WRITE_ENABLE='1') then
|
|||
| 1026 | markw | if (addr_decoded4(0)='1') then
|
|
| 1012 | markw | SATURATE_NEXT <= WRITE_DATA(0);
|
|
CHANNEL_MODE_NEXT <= WRITE_DATA(2);
|
|||
| 1033 | markw | IRQ_EN_NEXT <= WRITE_DATA(3);
|
|
| 1107 | markw | DETECT_RIGHT_NEXT <= WRITE_DATA(4);
|
|
| 1292 | markw | PAL_NEXT <= WRITE_DATA(5);
|
|
| 999 | markw | end if;
|
|
| 1200 | markw | ||
| 1026 | markw | if (addr_decoded4(2)='1') then
|
|
| 999 | markw | POST_DIVIDE_NEXT <= WRITE_DATA;
|
|
end if;
|
|||
| 1026 | markw | if (addr_decoded4(3)='1') then
|
|
| 1012 | markw | GTIA_ENABLE_NEXT <= WRITE_DATA(3 downto 0);
|
|
| 1457 | markw | ADC_VOLUME_NEXT <= WRITE_DATA(5 downto 4);
|
|
SIO_DATA_VOLUME_NEXT <= WRITE_DATA(7 downto 6);
|
|||
| 999 | markw | end if;
|
|
| 986 | markw | ||
| 1026 | markw | if (addr_decoded4(4)='1') then
|
|
| 1012 | markw | VERSION_LOC_NEXT <= WRITE_DATA(2 downto 0);
|
|
| 999 | markw | end if;
|
|
| 1051 | markw | if (addr_decoded4(5)='1') then
|
|
PSG_FREQ_NEXT <= WRITE_DATA(1 downto 0);
|
|||
PSG_STEREOMODE_NEXT <= WRITE_DATA(3 downto 2);
|
|||
PSG_ENVELOPE16_NEXT <= WRITE_DATA(4);
|
|||
| 1143 | markw | PSG_PROFILESEL_NEXT <= WRITE_DATA(6 downto 5);
|
|
| 1051 | markw | end if;
|
|
| 1181 | markw | ||
if (addr_decoded4(6)='1') then
|
|||
| 1284 | markw | SID_FILTER1_NEXT <= WRITE_DATA(1 downto 0);
|
|
SID_FILTER2_NEXT <= WRITE_DATA(5 downto 4);
|
|||
| 1187 | markw | -- (3 downto 1) reserved in case we want all revisions!
|
|
| 1181 | markw | end if;
|
|
| 1200 | markw | ||
if (addr_decoded4(7)='1') then
|
|||
RESTRICT_CAPABILITY_NEXT(4 downto 0) <= WRITE_DATA(4 downto 0);
|
|||
end if;
|
|||
| 1275 | markw | ||
| 1344 | markw | if (addr_decoded4(9)='1') then
|
|
CHANNEL_EN_NEXT(4 downto 0) <= WRITE_DATA(4 downto 0);
|
|||
end if;
|
|||
| 1026 | markw | if (addr_decoded4(12)='1') then
|
|
| 999 | markw | if (WRITE_DATA=x"3F") then
|
|
CONFIG_ENABLE_NEXT <= '1';
|
|||
else
|
|||
CONFIG_ENABLE_NEXT <= '0';
|
|||
end if;
|
|||
end if;
|
|||
| 1103 | markw | ||
if enable_flash=1 then
|
|||
if (addr_decoded4(11)='1') then
|
|||
| 1251 | markw | CPU_FLASH_ADDR_NEXT(flash_addr_bits+1 downto 16) <= WRITE_DATA((flash_addr_bits-16)+4 downto 3);
|
|
| 1112 | markw | ||
CPU_FLASH_CFG_NEXT <= WRITE_DATA(2);
|
|||
CPU_FLASH_REQUEST_NEXT <= WRITE_DATA(1);
|
|||
| 1103 | markw | CPU_FLASH_WRITE_N_NEXT <= WRITE_DATA(0);
|
|
end if;
|
|||
if (addr_decoded4(13)='1') then
|
|||
CPU_FLASH_ADDR_NEXT(7 downto 0) <= WRITE_DATA;
|
|||
end if;
|
|||
if (addr_decoded4(14)='1') then
|
|||
CPU_FLASH_ADDR_NEXT(15 downto 8) <= WRITE_DATA;
|
|||
end if;
|
|||
if (addr_decoded4(15)='1') then
|
|||
case CPU_FLASH_ADDR_REG(1 downto 0) is
|
|||
when "00" =>
|
|||
CPU_FLASH_DATA_NEXT(7 downto 0) <= WRITE_DATA;
|
|||
when "01" =>
|
|||
CPU_FLASH_DATA_NEXT(15 downto 8) <= WRITE_DATA;
|
|||
when "10" =>
|
|||
CPU_FLASH_DATA_NEXT(23 downto 16) <= WRITE_DATA;
|
|||
when others =>
|
|||
CPU_FLASH_DATA_NEXT(31 downto 24) <= WRITE_DATA;
|
|||
end case;
|
|||
end if;
|
|||
end if;
|
|||
| 999 | markw | end if;
|
|
end process;
|
|||
| 988 | markw | ||
| 1026 | markw | process(addr_decoded4,VERSION_LOC_REG,
|
|
| 1108 | markw | SATURATE_REG,CHANNEL_MODE_REG,IRQ_EN_REG,DETECT_RIGHT_REG,
|
|
| 1051 | markw | POST_DIVIDE_REG, GTIA_ENABLE_REG,
|
|
| 1143 | markw | PSG_FREQ_REG, PSG_STEREOMODE_REG, PSG_PROFILESEL_REG, PSG_ENVELOPE16_REG,
|
|
| 1188 | markw | SID_FILTER1_REG, SID_FILTER2_REG,
|
|
| 1112 | markw | CPU_FLASH_CFG_REG,CPU_FLASH_ADDR_REG,CPU_FLASH_DATA_REG,
|
|
| 1200 | markw | CPU_FLASH_REQUEST_REG, CPU_FLASH_WRITE_N_REG,
|
|
| 1292 | markw | RESTRICT_CAPABILITY_REG,
|
|
| 1344 | markw | CHANNEL_EN_REG,
|
|
| 1292 | markw | PAL_REG
|
|
| 1103 | markw | )
|
|
| 1200 | markw | variable ACTUAL_CAPABILITY : std_logic_vector(7 downto 0);
|
|
| 999 | markw | begin
|
|
CONFIG_DO <= (others=>'1');
|
|||
| 1026 | markw | if (addr_decoded4(0)='1') then
|
|
| 999 | markw | CONFIG_DO <= (others=>'0');
|
|
| 1012 | markw | CONFIG_DO(0) <= SATURATE_REG;
|
|
CONFIG_DO(2) <= CHANNEL_MODE_REG;
|
|||
| 1033 | markw | CONFIG_DO(3) <= IRQ_EN_REG;
|
|
| 1108 | markw | CONFIG_DO(4) <= DETECT_RIGHT_REG;
|
|
| 1292 | markw | CONFIG_DO(5) <= PAL_REG;
|
|
| 999 | markw | end if;
|
|
| 1200 | markw | ||
ACTUAL_CAPABILITY := (others=>'0');
|
|||
if (pokeys=1) then
|
|||
ACTUAL_CAPABILITY(1 downto 0) := "00";
|
|||
elsif (pokeys=2) then
|
|||
ACTUAL_CAPABILITY(1 downto 0) := "01"; --bit0=stereo
|
|||
elsif (pokeys=4) then
|
|||
ACTUAL_CAPABILITY(1 downto 0) := "11"; --bit1=quad
|
|||
end if;
|
|||
if (enable_sid=1) then
|
|||
ACTUAL_CAPABILITY(2) := '1';
|
|||
else
|
|||
ACTUAL_CAPABILITY(2) := '0';
|
|||
end if;
|
|||
if (enable_psg=1) then
|
|||
ACTUAL_CAPABILITY(3) := '1';
|
|||
else
|
|||
ACTUAL_CAPABILITY(3) := '0';
|
|||
end if;
|
|||
if (enable_covox=1) then
|
|||
ACTUAL_CAPABILITY(4) := '1';
|
|||
else
|
|||
ACTUAL_CAPABILITY(4) := '0';
|
|||
end if;
|
|||
if (enable_sample=1) then
|
|||
ACTUAL_CAPABILITY(5) := '1';
|
|||
else
|
|||
ACTUAL_CAPABILITY(5) := '0';
|
|||
end if;
|
|||
if (enable_flash=1) then
|
|||
ACTUAL_CAPABILITY(6) := '1';
|
|||
else
|
|||
ACTUAL_CAPABILITY(6) := '0';
|
|||
end if;
|
|||
| 999 | markw | ||
| 1026 | markw | if (addr_decoded4(1)='1') then
|
|
| 1200 | markw | CONFIG_DO <= ACTUAL_CAPABILITY and "11"&RESTRICT_CAPABILITY_REG(4)&RESTRICT_CAPABILITY_REG;
|
|
| 999 | markw | end if;
|
|
| 1026 | markw | if (addr_decoded4(2)='1') then
|
|
| 999 | markw | CONFIG_DO <= POST_DIVIDE_REG;
|
|
end if;
|
|||
| 1026 | markw | if (addr_decoded4(3)='1') then
|
|
| 1012 | markw | CONFIG_DO <= (others=>'0');
|
|
CONFIG_DO(3 downto 0) <= GTIA_ENABLE_REG;
|
|||
| 1457 | markw | if (enable_adc=1) then -- Should allow optimiser to remove since nothing else reads it
|
|
CONFIG_DO(5 downto 4) <= ADC_VOLUME_REG;
|
|||
end if;
|
|||
CONFIG_DO(7 downto 6) <= SIO_DATA_VOLUME_REG;
|
|||
| 999 | markw | end if;
|
|
| 1026 | markw | if (addr_decoded4(4)='1') then
|
|
| 1012 | markw | -- version
|
|
case VERSION_LOC_REG(2 downto 0) is
|
|||
| 999 | markw | when "000" =>
|
|
| 1013 | markw | CONFIG_DO <= getByte(version,1);
|
|
| 999 | markw | when "001" =>
|
|
| 1013 | markw | CONFIG_DO <= getByte(version,2);
|
|
| 999 | markw | when "010" =>
|
|
| 1013 | markw | CONFIG_DO <= getByte(version,3);
|
|
| 999 | markw | when "011" =>
|
|
| 1013 | markw | CONFIG_DO <= getByte(version,4);
|
|
| 999 | markw | when "100" =>
|
|
| 1013 | markw | CONFIG_DO <= getByte(version,5);
|
|
| 999 | markw | when "101" =>
|
|
| 1013 | markw | CONFIG_DO <= getByte(version,6);
|
|
| 999 | markw | when "110" =>
|
|
| 1013 | markw | CONFIG_DO <= getByte(version,7);
|
|
| 999 | markw | when "111" =>
|
|
| 1013 | markw | CONFIG_DO <= getByte(version,8);
|
|
| 999 | markw | when others =>
|
|
| 1012 | markw | end case;
|
|
| 999 | markw | end if;
|
|
| 1051 | markw | ||
if (addr_decoded4(5)='1') then
|
|||
| 1194 | markw | CONFIG_DO <= (others=>'0');
|
|
| 1051 | markw | CONFIG_DO(1 downto 0) <= PSG_FREQ_REG;
|
|
CONFIG_DO(3 downto 2) <= PSG_STEREOMODE_REG;
|
|||
CONFIG_DO(4) <= PSG_ENVELOPE16_REG;
|
|||
| 1143 | markw | CONFIG_DO(6 downto 5) <= PSG_PROFILESEL_REG;
|
|
| 1051 | markw | end if;
|
|
| 1103 | markw | ||
| 1181 | markw | if (addr_decoded4(6)='1') then
|
|
| 1188 | markw | CONFIG_DO <= (others=>'0');
|
|
| 1284 | markw | CONFIG_DO(1 downto 0) <= SID_FILTER1_REG;
|
|
-- (3 downto 2) reserved in case we want more filter options
|
|||
CONFIG_DO(5 downto 4) <= SID_FILTER2_REG;
|
|||
-- (7 downto 6) reserved in case we want more filter options
|
|||
| 1181 | markw | end if;
|
|
| 1200 | markw | if (addr_decoded4(7)='1') then
|
|
CONFIG_DO(4 downto 0) <= RESTRICT_CAPABILITY_REG(4 downto 0);
|
|||
end if;
|
|||
| 1344 | markw | if (addr_decoded4(9)='1') then
|
|
CONFIG_DO(4 downto 0) <= CHANNEL_EN_REG(4 downto 0);
|
|||
end if;
|
|||
| 1026 | markw | if (addr_decoded4(12)='1') then
|
|
| 999 | markw | CONFIG_DO <= x"01";
|
|
end if;
|
|||
| 1103 | markw | ||
if enable_flash=1 then
|
|||
if (addr_decoded4(11)='1') then
|
|||
| 1251 | markw | CONFIG_DO((flash_addr_bits-16)+4 downto 3) <= CPU_FLASH_ADDR_REG(flash_addr_bits+1 downto 16);
|
|
| 1112 | markw | CONFIG_DO(2) <= CPU_FLASH_CFG_REG;
|
|
| 1103 | markw | CONFIG_DO(1) <= CPU_FLASH_REQUEST_REG;
|
|
CONFIG_DO(0) <= CPU_FLASH_WRITE_N_REG;
|
|||
end if;
|
|||
| 999 | markw | ||
| 1103 | markw | if (addr_decoded4(13)='1') then
|
|
CONFIG_DO <= CPU_FLASH_ADDR_REG(7 downto 0);
|
|||
end if;
|
|||
if (addr_decoded4(14)='1') then
|
|||
CONFIG_DO <= CPU_FLASH_ADDR_REG(15 downto 8);
|
|||
end if;
|
|||
if (addr_decoded4(15)='1') then
|
|||
case CPU_FLASH_ADDR_REG(1 downto 0) is
|
|||
when "00" =>
|
|||
CONFIG_DO <= CPU_FLASH_DATA_REG(7 downto 0);
|
|||
when "01" =>
|
|||
CONFIG_DO <= CPU_FLASH_DATA_REG(15 downto 8);
|
|||
when "10" =>
|
|||
CONFIG_DO <= CPU_FLASH_DATA_REG(23 downto 16);
|
|||
when others =>
|
|||
CONFIG_DO <= CPU_FLASH_DATA_REG(31 downto 24);
|
|||
end case;
|
|||
end if;
|
|||
end if;
|
|||
| 999 | markw | end process;
|
|
| 990 | markw | ||
| 1006 | markw | end generate;
|
|
| 714 | markw | ||
| 999 | markw | -------------------------------------------------------
|
|
-- AUDIO mixing
|
|||
| 1145 | markw | mixer1 : entity work.mixer
|
|
PORT MAP
|
|||
(
|
|||
CLK => CLK,
|
|||
RESET_N => RESET_N,
|
|||
| 1014 | markw | ||
| 1145 | markw | ENABLE_CYCLE => ENABLE_CYCLE,
|
|
| 1014 | markw | ||
| 1145 | markw | POST_DIVIDE => POST_DIVIDE_REG,
|
|
| 1150 | markw | DETECT_RIGHT => DETECT_RIGHT_REG,
|
|
| 1145 | markw | FANCY_ENABLE => FANCY_ENABLE,
|
|
| 1150 | markw | GTIA_EN => GTIA_ENABLE_REG,
|
|
| 1400 | markw | ADC_EN => "1100",
|
|
| 1015 | markw | ||
| 1150 | markw | CH0 => POKEY_AUDIO_0,
|
|
CH1 => POKEY_AUDIO_1,
|
|||
CH2 => POKEY_AUDIO_2,
|
|||
CH3 => POKEY_AUDIO_3,
|
|||
CH4 => unsigned(SAMPLE_AUDIO(0)),
|
|||
CH5 => unsigned(SAMPLE_AUDIO(1)),
|
|||
CH6 => unsigned(SID_AUDIO(0)),
|
|||
CH7 => unsigned(SID_AUDIO(1)),
|
|||
CH8 => unsigned(PSG_AUDIO(0)),
|
|||
CH9 => unsigned(PSG_AUDIO(1)),
|
|||
| 1401 | markw | CHA(14 downto 0) => (others=>'0'),
|
|
| 1150 | markw | CHA(15) => GTIA_AUDIO,
|
|
| 1454 | markw | CHB => SIO_AUDIO,
|
|
| 1150 | markw | ||
| 1145 | markw | AUDIO_0_UNSIGNED => AUDIO_0_UNSIGNED,
|
|
AUDIO_1_UNSIGNED => AUDIO_1_UNSIGNED,
|
|||
AUDIO_2_UNSIGNED => AUDIO_2_UNSIGNED,
|
|||
AUDIO_3_UNSIGNED => AUDIO_3_UNSIGNED
|
|||
);
|
|||
| 1014 | markw | ||
| 993 | markw | --approx line level by using 5V/4 -> ok 1.25V, should be ok approx
|
|
| 1014 | markw | dac_0 : entity work.filtered_sigmadelta --pin37
|
|
GENERIC MAP
|
|||
(
|
|||
| 1081 | markw | IMPLEMENTATION => 2,
|
|
| 1014 | markw | LOWPASS => lowpass
|
|
)
|
|||
| 714 | markw | port map
|
|
(
|
|||
| 993 | markw | reset_n => reset_n,
|
|
| 714 | markw | clk => clk,
|
|
| 1087 | markw | clk2 => CLK116,
|
|
| 1014 | markw | ENABLE_179 => ENABLE_CYCLE,
|
|
| 999 | markw | audin => AUDIO_0_UNSIGNED,
|
|
AUDOUT => AUDIO_0_SIGMADELTA
|
|||
| 714 | markw | );
|
|
| 1291 | markw | audout2_on : if enable_audout2=1 generate
|
|
| 1014 | markw | dac_1 : entity work.filtered_sigmadelta
|
|
GENERIC MAP
|
|||
(
|
|||
| 1081 | markw | IMPLEMENTATION => 2,
|
|
| 1014 | markw | LOWPASS => lowpass
|
|
)
|
|||
| 714 | markw | port map
|
|
(
|
|||
| 993 | markw | reset_n => reset_n,
|
|
| 714 | markw | clk => clk,
|
|
| 1237 | markw | clk2 => CLK106,
|
|
| 1014 | markw | ENABLE_179 => ENABLE_CYCLE,
|
|
| 999 | markw | audin => AUDIO_1_UNSIGNED,
|
|
AUDOUT => AUDIO_1_SIGMADELTA
|
|||
| 714 | markw | );
|
|
| 1291 | markw | end generate audout2_on;
|
|
audout2_off : if enable_audout2=0 generate
|
|||
AUDIO_1_SIGMADELTA <= '0';
|
|||
end generate audout2_off;
|
|||
| 1014 | markw | dac_2 : entity work.filtered_sigmadelta
|
|
GENERIC MAP
|
|||
(
|
|||
| 1081 | markw | IMPLEMENTATION => 2,
|
|
| 1014 | markw | LOWPASS => lowpass
|
|
)
|
|||
| 714 | markw | port map
|
|
(
|
|||
| 993 | markw | reset_n => reset_n,
|
|
| 714 | markw | clk => clk,
|
|
| 1087 | markw | clk2 => CLK116,
|
|
| 1014 | markw | ENABLE_179 => ENABLE_CYCLE,
|
|
| 999 | markw | audin => AUDIO_2_UNSIGNED,
|
|
AUDOUT => AUDIO_2_SIGMADELTA
|
|||
| 714 | markw | );
|
|
| 1014 | markw | dac_3 : entity work.filtered_sigmadelta
|
|
GENERIC MAP
|
|||
(
|
|||
| 1081 | markw | IMPLEMENTATION => 2,
|
|
| 1014 | markw | LOWPASS => lowpass
|
|
)
|
|||
| 993 | markw | port map
|
|
(
|
|||
reset_n => reset_n,
|
|||
clk => clk,
|
|||
| 1237 | markw | clk2 => CLK106,
|
|
| 1014 | markw | ENABLE_179 => ENABLE_CYCLE,
|
|
| 999 | markw | audin => AUDIO_3_UNSIGNED,
|
|
AUDOUT => AUDIO_3_SIGMADELTA
|
|||
| 993 | markw | );
|
|
| 999 | markw | ||
| 1312 | markw | -- Digital audio output
|
|
spdif_on : if enable_spdif=1 generate
|
|||
| 999 | markw | ||
| 1312 | markw | -- todo: clock domain crossing!
|
|
| 1472 | markw | spdif_mux <= std_logic_vector(audio_2_filtered) when spdif_left='1'
|
|
| 1312 | markw | else std_logic_vector(audio_3_filtered);
|
|
filter_left : entity work.simple_low_pass_filter
|
|||
PORT MAP
|
|||
(
|
|||
CLK => clk,
|
|||
AUDIO_IN => audio_2_unsigned,
|
|||
SAMPLE_IN => enable_cycle,
|
|||
AUDIO_OUT => audio_2_filtered
|
|||
);
|
|||
filter_right : entity work.simple_low_pass_filter
|
|||
PORT MAP
|
|||
(
|
|||
CLK => clk,
|
|||
AUDIO_IN => audio_3_unsigned,
|
|||
SAMPLE_IN => enable_cycle,
|
|||
AUDIO_OUT => audio_3_filtered
|
|||
);
|
|||
spdif : entity work.spdif_transmitter
|
|||
port map(
|
|||
bit_clock => CLK6144, -- 128x Fsample (6.144MHz for 48K samplerate)
|
|||
| 1455 | markw | data_in(23) => not(spdif_mux(15)),
|
|
data_in(22 downto 8) => spdif_mux(14 downto 0),
|
|||
| 1312 | markw | data_in(7 downto 0) => (others=>'0'),
|
|
| 1472 | markw | address_out => spdif_left,
|
|
| 1312 | markw | spdif_out => spdif_out
|
|
);
|
|||
| 1344 | markw | EXT(SPDIF_BIT) <= spdif_out when CHANNEL_EN_REG(4)='1' else 'Z';
|
|
| 1312 | markw | end generate spdif_on;
|
|
| 718 | markw | -- io extension
|
|
-- drive to 0 for pot reset (otherwise high imp)
|
|||
-- drive keyboard lines
|
|||
| 1396 | markw | iox_on : if enable_iox=1 generate
|
|
| 718 | markw | i2c_master0 : entity work.i2c_master
|
|
| 1240 | markw | generic map(input_clk=>58_000_000, bus_clk=>2_800_000)
|
|
| 718 | markw | port map(
|
|
clk=>clk,
|
|||
reset_n=>reset_n,
|
|||
ena=>i2c0_ena,
|
|||
addr=>i2c0_addr,
|
|||
rw=>i2c0_rw,
|
|||
data_wr=>i2c0_write_data,
|
|||
busy=>i2c0_busy,
|
|||
data_rd=>i2c0_read_data,
|
|||
ack_error=>i2c0_error,
|
|||
sda=>IOX_SDA,
|
|||
scl=>IOX_SCL
|
|||
);
|
|||
iox_glue : entity work.iox_glue
|
|||
port map(
|
|||
clk=>clk,
|
|||
reset_n=>reset_n,
|
|||
ena=>i2c0_ena,
|
|||
addr=>i2c0_addr,
|
|||
rw=>i2c0_rw,
|
|||
write_data=>i2c0_write_data,
|
|||
busy=>i2c0_busy,
|
|||
read_data=>i2c0_read_data,
|
|||
error=>i2c0_error,
|
|||
| 726 | markw | int=>iox_int,
|
|
| 718 | markw | keyboard_scan=>keyboard_scan,
|
|
| 1224 | markw | keyboard_scan_update=>keyboard_scan_update,
|
|
| 1312 | markw | keyboard_response=>iox_keyboard_response,
|
|
| 1239 | markw | keyboard_scan_enable=>keyboard_scan_enable
|
|
| 718 | markw | );
|
|
| 1396 | markw | IOX_RST <= 'Z'; -- TODO weak pull up in pins (see TODO file)
|
|
end generate iox_on;
|
|||
| 718 | markw | ||
| 1396 | markw | iox_off : if enable_iox=0 generate
|
|
iox_keyboard_response <= KR2&KR1;
|
|||
| 1454 | markw | -- k(0) <= '0' when keyboard_scan(0)='0' else 'Z';
|
|
-- k(1) <= '0' when keyboard_scan(1)='0' else 'Z';
|
|||
-- k(2) <= '0' when keyboard_scan(2)='0' else 'Z';
|
|||
-- k(3) <= '0' when keyboard_scan(3)='0' else 'Z';
|
|||
-- k(4) <= '0' when keyboard_scan(4)='0' else 'Z';
|
|||
-- k(5) <= '0' when keyboard_scan(5)='0' else 'Z';
|
|||
| 1396 | markw | k <= keyboard_scan;
|
|
end generate iox_off;
|
|||
| 1312 | markw | -- PS2 keyboard
|
|
ps2_on : if enable_ps2=1 generate
|
|||
PS2CLK <= EXT(PS2CLK_BIT);
|
|||
PS2DAT <= EXT(PS2DAT_BIT);
|
|||
keyboard_map1 : entity work.ps2_to_atari800
|
|||
GENERIC MAP
|
|||
(
|
|||
ps2_enable => 1,
|
|||
direct_enable => 0
|
|||
)
|
|||
PORT MAP
|
|||
(
|
|||
CLK => clk,
|
|||
RESET_N => reset_n,
|
|||
PS2_CLK => PS2CLK,
|
|||
PS2_DAT => PS2DAT,
|
|||
INPUT => open,
|
|||
KEY_TYPE => '0', -- TODO 1 is US key_type - probably add editor to pokeycfg an put in flash?
|
|||
ATARI_KEYBOARD_OUT => open,
|
|||
KEYBOARD_SCAN => KEYBOARD_SCAN,
|
|||
KEYBOARD_RESPONSE => PS2_KEYBOARD_RESPONSE,
|
|||
CONSOL_START => open,
|
|||
CONSOL_SELECT => open,
|
|||
CONSOL_OPTION => open,
|
|||
FKEYS => open,
|
|||
FREEZER_ACTIVATE => open,
|
|||
PS2_KEYS_NEXT_OUT => open,
|
|||
PS2_KEYS => open
|
|||
);
|
|||
KEYBOARD_RESPONSE <= IOX_KEYBOARD_RESPONSE and PS2_KEYBOARD_RESPONSE;
|
|||
end generate ps2_on;
|
|||
ps2_off : if enable_ps2=0 generate
|
|||
KEYBOARD_RESPONSE <= IOX_KEYBOARD_RESPONSE;
|
|||
end generate ps2_off;
|
|||
| 1470 | markw | synchronizer_SIO : entity work.synchronizer
|
|
port map (clk=>CLK49152, raw=>SID, sync=>SIO_RXD_ADC);
|
|||
| 1396 | markw | adc_on : if enable_adc=1 generate
|
|
| 1454 | markw | ||
-- Proper ADC for SIO/PBI audio in
|
|||
sdelta : sigma_delta_adc
|
|||
port map(
|
|||
clk=>CLK49152,
|
|||
rst=>not(reset_n),
|
|||
adc_lvds_pin => adc_lvds_pin,
|
|||
adc_fb_pin => adc_fb_pin,
|
|||
adc_output => adc_output,
|
|||
adc_valid => adc_valid
|
|||
);
|
|||
-- adc_valid <= '1';
|
|||
-- adc_output <= x"abcd";
|
|||
| 1396 | markw | -- Simple ADC for SIO/PBI audio in
|
|
| 1454 | markw | process(CLK49152,reset_n)
|
|
| 1396 | markw | begin
|
|
if (reset_n='0') then
|
|||
| 1454 | markw | adc_reg <= (others=>'0');
|
|
adc_use_reg <= (others=>'0');
|
|||
| 1467 | markw | adc_frozen_reg <= (others=>'0');
|
|
| 1454 | markw | elsif (CLK49152'event and CLK49152='1') then
|
|
adc_reg <= adc_next;
|
|||
adc_use_reg <= adc_use_next;
|
|||
| 1467 | markw | adc_frozen_reg <= adc_frozen_next;
|
|
| 1396 | markw | end if;
|
|
end process;
|
|||
lvds_tx0: lvds_tx
|
|||
port map(
|
|||
| 1454 | markw | tx_in(0) => adc_fb_pin,
|
|
| 1396 | markw | tx_out(0) => ADC_TX_P
|
|
);
|
|||
lvds_rx0: lvds_rx
|
|||
port map(
|
|||
data(0) => ADC_RX_P,
|
|||
| 1454 | markw | clock => CLK49152,
|
|
q(0) => adc_lvds_pin
|
|||
| 1396 | markw | );
|
|
| 1454 | markw | adc_in_signed <= adc_reg; --signed(not(adc_use_reg(15))&adc_use_reg(14 downto 0));
|
|
--adc_in_signed <= signed(not(adc_use_reg(15))&adc_use_reg(14 downto 0));
|
|||
--adc_in_signed <= to_signed(1024,16);
|
|||
adcfirfilter : entity work.fir_filter
|
|||
GENERIC MAP
|
|||
(
|
|||
filter_len => 2032
|
|||
)
|
|||
| 1396 | markw | PORT MAP
|
|
(
|
|||
| 1454 | markw | FILTER_CLK => CLK49152,
|
|
RESET_N => RESET_N,
|
|||
SAMPLE_ENABLE => adc_valid,
|
|||
SAMPLE_DATA => adc_in_signed,
|
|||
SAMPLE_OUT => adc_out_signed,
|
|||
| 1312 | markw | ||
| 1454 | markw | FLASH_CLK => CLK,
|
|
FLASH_REQUEST => FIR_DATA_REQUEST,
|
|||
FLASH_ADDRESS => FIR_DATA_ADDRESS,
|
|||
FLASH_DATA => flash_do_slow,
|
|||
FLASH_READY => FIR_DATA_READY
|
|||
| 1396 | markw | );
|
|
| 1454 | markw | SIO_AUDIO <= unsigned(not(adc_use_reg(15))&adc_use_reg(14 downto 0));
|
|
| 1396 | markw | ||
| 1457 | markw | process(adc_reg,adc_output,adc_valid,ADC_VOLUME_REG)
|
|
| 1464 | markw | variable adc_shrunk : signed(19 downto 0);
|
|
| 1396 | markw | begin
|
|
| 1454 | markw | adc_next <= adc_reg;
|
|
| 1396 | markw | ||
| 1454 | markw | if (adc_valid='1') then
|
|
| 1467 | markw | adc_shrunk := (signed(not(adc_output(19)) & adc_output(18 downto 0)));
|
|
| 1457 | markw | case ADC_VOLUME_REG is
|
|
when "01" =>
|
|||
| 1464 | markw | adc_next <= adc_shrunk(19 downto (19-16+1)); --*1
|
|
| 1457 | markw | when "10" =>
|
|
| 1464 | markw | adc_next <= adc_shrunk(18 downto (18-16+1)); --*2
|
|
| 1457 | markw | when "11" =>
|
|
| 1464 | markw | adc_next <= adc_shrunk(17 downto (17-16+1)); --*4
|
|
| 1457 | markw | when others =>
|
|
adc_next <= (others=>'0');
|
|||
end case;
|
|||
| 1396 | markw | end if;
|
|
| 1454 | markw | end process;
|
|
| 1396 | markw | ||
| 1467 | markw | audio_signal_detector1 : work.audio_signal_detector
|
|
port map(clk=>CLK49152,reset_n=>reset_n,audio=>adc_in_signed,sample=>adc_valid,volume=>adc_volume_reg,detect_out=>adc_enabled);
|
|||
| 1454 | markw | ||
| 1467 | markw | process(adc_use_reg,adc_frozen_reg,adc_enabled,adc_out_signed,sio_noise)
|
|
begin
|
|||
adc_frozen_next <= adc_frozen_reg;
|
|||
| 1464 | markw | ||
| 1467 | markw | adc_use_next <= adc_frozen_reg xor sio_noise;
|
|
if (adc_enabled='1') then
|
|||
adc_frozen_next <= adc_out_signed;
|
|||
end if;
|
|||
| 1454 | markw | ||
| 1396 | markw | end process;
|
|
| 1454 | markw | ||
| 1470 | markw | process(SIO_RXD_ADC,SIO_DATA_VOLUME_REG)
|
|
| 1454 | markw | begin
|
|
| 1467 | markw | sio_noise <= (others=>'0');
|
|
case SIO_DATA_VOLUME_REG is
|
|||
when "01" =>
|
|||
| 1470 | markw | sio_noise(10) <= not(SIO_RXD_ADC);
|
|
| 1467 | markw | when "10" =>
|
|
| 1470 | markw | sio_noise(11) <= not(SIO_RXD_ADC);
|
|
| 1467 | markw | when "11" =>
|
|
| 1470 | markw | sio_noise(12) <= not(SIO_RXD_ADC);
|
|
| 1467 | markw | when others =>
|
|
end case;
|
|||
| 1454 | markw | end process;
|
|
| 1396 | markw | end generate adc_on;
|
|
adc_off : if enable_adc=0 generate
|
|||
| 1457 | markw | process(SIO_DATA_VOLUME_REG)
|
|
begin
|
|||
SIO_AUDIO(15 downto 0) <= (others=>'0');
|
|||
case SIO_DATA_VOLUME_REG is
|
|||
when "01" =>
|
|||
| 1470 | markw | SIO_AUDIO(10) <= SIO_RXD_ADC;
|
|
| 1457 | markw | when "10" =>
|
|
| 1470 | markw | SIO_AUDIO(11) <= SIO_RXD_ADC;
|
|
| 1457 | markw | when "11" =>
|
|
| 1470 | markw | SIO_AUDIO(12) <= SIO_RXD_ADC;
|
|
| 1457 | markw | when others =>
|
|
end case;
|
|||
end process;
|
|||
| 1396 | markw | end generate adc_off;
|
|
paddle_lvds_on : if paddle_lvds=1 generate
|
|||
paddle_lvds_rx0: paddle_gpio
|
|||
port map(
|
|||
dout => PADDLE_ADJ,
|
|||
din => (others=>'0'),
|
|||
pad_io => PADDLE_P,
|
|||
pad_io_b => PADDLE_N,
|
|||
oe =>(others=>POTRESET)
|
|||
);
|
|||
end generate paddle_lvds_on;
|
|||
paddle_comp_on : if paddle_comp=1 generate
|
|||
POTRESET_N <= not(POTRESET) when ext_clk_enable=0 else '1';
|
|||
PADDLE_ADJ <= PADDLE;
|
|||
end generate paddle_comp_on;
|
|||
| 714 | markw | -- Wire up pins
|
|
ACLK <= SIO_CLOCKOUT;
|
|||
BCLK <= '0' when (SIO_CLOCKIN_OE='1' and SIO_CLOCKIN_OUT='0') else 'Z';
|
|||
SIO_CLOCKIN_IN <= BCLK;
|
|||
SOD <= '0' when SIO_TXD='0' else 'Z';
|
|||
SIO_RXD <= SID;
|
|||
| 999 | markw | ||
| 733 | markw | --1->pin37
|
|
| 1344 | markw | AUD(1) <= AUDIO_0_SIGMADELTA when CHANNEL_EN_REG(0)='1' else '0';
|
|
| 714 | markw | ||
| 999 | markw | -- ext AUD pins:
|
|
| 1344 | markw | AUD(2) <= AUDIO_1_SIGMADELTA when CHANNEL_EN_REG(1)='1' else '0';
|
|
AUD(3) <= AUDIO_2_SIGMADELTA when CHANNEL_EN_REG(2)='1' else '0';
|
|||
AUD(4) <= AUDIO_3_SIGMADELTA when CHANNEL_EN_REG(3)='1' else '0';
|
|||
| 714 | markw | ||
| 1160 | markw | IRQ <= '0' when (IRQ_EN_REG='1' and (and_reduce(POKEY_IRQ)='0')) or (IRQ_EN_REG='0' and POKEY_IRQ(0)='0') or (SAMPLE_IRQ='1') else 'Z';
|
|
| 999 | markw | ||
| 716 | markw | D <= BUS_DATA when BUS_OE='1' else (others=>'Z');
|
|
| 701 | markw | END vhdl;
|