Revision 302
Added by markw almost 11 years ago
sockit/pll_pal_sim/cadence/ncsim_setup.sh | ||
---|---|---|
|
||
# (C) 2001-2014 Altera Corporation. All rights reserved.
|
||
# Your use of Altera Corporation's design tools, logic functions and
|
||
# other software and tools, and its AMPP partner logic functions, and
|
||
# any output files any of the foregoing (including device programming
|
||
# or simulation files), and any associated documentation or information
|
||
# are expressly subject to the terms and conditions of the Altera
|
||
# Program License Subscription Agreement, Altera MegaCore Function
|
||
# License Agreement, or other applicable license agreement, including,
|
||
# without limitation, that your use is for the sole purpose of
|
||
# programming logic devices manufactured by Altera and sold by Altera
|
||
# or its authorized distributors. Please refer to the applicable
|
||
# agreement for further details.
|
||
|
||
# ACDS 14.0 200 linux 2014.12.14.13:40:56
|
||
|
||
# ----------------------------------------
|
||
# ncsim - auto-generated simulation script
|
||
|
||
# ----------------------------------------
|
||
# initialize variables
|
||
TOP_LEVEL_NAME="pll_pal"
|
||
QSYS_SIMDIR="./../"
|
||
QUARTUS_INSTALL_DIR="/home/markw/fpga/altera/14.0/quartus/"
|
||
SKIP_FILE_COPY=0
|
||
SKIP_DEV_COM=0
|
||
SKIP_COM=0
|
||
SKIP_ELAB=0
|
||
SKIP_SIM=0
|
||
USER_DEFINED_ELAB_OPTIONS=""
|
||
USER_DEFINED_SIM_OPTIONS="-input \"@run 100; exit\""
|
||
|
||
# ----------------------------------------
|
||
# overwrite variables - DO NOT MODIFY!
|
||
# This block evaluates each command line argument, typically used for
|
||
# overwriting variables. An example usage:
|
||
# sh <simulator>_setup.sh SKIP_ELAB=1 SKIP_SIM=1
|
||
for expression in "$@"; do
|
||
eval $expression
|
||
if [ $? -ne 0 ]; then
|
||
echo "Error: This command line argument, \"$expression\", is/has an invalid expression." >&2
|
||
exit $?
|
||
fi
|
||
done
|
||
|
||
# ----------------------------------------
|
||
# initialize simulation properties - DO NOT MODIFY!
|
||
ELAB_OPTIONS=""
|
||
SIM_OPTIONS=""
|
||
if [[ `ncsim -version` != *"ncsim(64)"* ]]; then
|
||
:
|
||
else
|
||
:
|
||
fi
|
||
|
||
# ----------------------------------------
|
||
# create compilation libraries
|
||
mkdir -p ./libraries/work/
|
||
mkdir -p ./libraries/altera/
|
||
mkdir -p ./libraries/lpm/
|
||
mkdir -p ./libraries/sgate/
|
||
mkdir -p ./libraries/altera_mf/
|
||
mkdir -p ./libraries/altera_lnsim/
|
||
mkdir -p ./libraries/cyclonev/
|
||
|
||
# ----------------------------------------
|
||
# copy RAM/ROM files to simulation directory
|
||
|
||
# ----------------------------------------
|
||
# compile device library files
|
||
if [ $SKIP_DEV_COM -eq 0 ]; then
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_syn_attributes.vhd" -work altera
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_standard_functions.vhd" -work altera
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/alt_dspbuilder_package.vhd" -work altera
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_europa_support_lib.vhd" -work altera
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives_components.vhd" -work altera
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives.vhd" -work altera
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/220pack.vhd" -work lpm
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/220model.vhd" -work lpm
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate_pack.vhd" -work sgate
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate.vhd" -work sgate
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf_components.vhd" -work altera_mf
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf.vhd" -work altera_mf
|
||
ncvlog -sv "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim.sv" -work altera_lnsim
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim_components.vhd" -work altera_lnsim
|
||
ncvlog "$QUARTUS_INSTALL_DIR/eda/sim_lib/cadence/cyclonev_atoms_ncrypt.v" -work cyclonev
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_atoms.vhd" -work cyclonev
|
||
ncvhdl -v93 "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_components.vhd" -work cyclonev
|
||
fi
|
||
|
||
# ----------------------------------------
|
||
# compile design files in correct order
|
||
if [ $SKIP_COM -eq 0 ]; then
|
||
ncvhdl -v93 "$QSYS_SIMDIR/pll_pal.vho"
|
||
fi
|
||
|
||
# ----------------------------------------
|
||
# elaborate top level design
|
||
if [ $SKIP_ELAB -eq 0 ]; then
|
||
ncelab -access +w+r+c -namemap_mixgen -relax $ELAB_OPTIONS $USER_DEFINED_ELAB_OPTIONS $TOP_LEVEL_NAME
|
||
fi
|
||
|
||
# ----------------------------------------
|
||
# simulate
|
||
if [ $SKIP_SIM -eq 0 ]; then
|
||
eval ncsim -licqueue $SIM_OPTIONS $USER_DEFINED_SIM_OPTIONS $TOP_LEVEL_NAME
|
||
fi
|
||
sockit/pll_pal_sim/synopsys/vcsmx/synopsys_sim.setup | ||
---|---|---|
|
||
WORK > DEFAULT
|
||
DEFAULT: ./libraries/work/
|
||
work: ./libraries/work/
|
||
altera: ./libraries/altera/
|
||
lpm: ./libraries/lpm/
|
||
sgate: ./libraries/sgate/
|
||
altera_mf: ./libraries/altera_mf/
|
||
altera_lnsim: ./libraries/altera_lnsim/
|
||
cyclonev: ./libraries/cyclonev/
|
||
LIBRARY_SCAN = TRUE
|
sockit/pll_pal.cmp | ||
---|---|---|
component pll_pal is
|
||
port (
|
||
refclk : in std_logic := 'X'; -- clk
|
||
rst : in std_logic := 'X'; -- reset
|
||
outclk_0 : out std_logic; -- clk
|
||
locked : out std_logic -- export
|
||
);
|
||
end component pll_pal;
|
||
|
sockit/pll_pal.qip | ||
---|---|---|
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_TOOL_NAME "altera_pll"
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_TOOL_VERSION "14.0"
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_TOOL_ENV "mwpim"
|
||
set_global_assignment -library "pll_pal" -name MISC_FILE [file join $::quartus(qip_path) "pll_pal.cmp"]
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V"
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}"
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_QSYS_MODE "UNKNOWN"
|
||
set_global_assignment -name SYNTHESIS_ONLY_QIP ON
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_COMPONENT_NAME "cGxsX3BhbA=="
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFBMTA=="
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_COMPONENT_INTERNAL "Off"
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_COMPONENT_VERSION "MTQuMA=="
|
||
set_global_assignment -entity "pll_pal" -library "pll_pal" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFBoYXNlLUxvY2tlZCBMb29wIChBTFRFUkFfUExMKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_NAME "cGxsX3BhbF8wMDAy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFBMTA=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_INTERNAL "Off"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_VERSION "MTQuMA=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFBoYXNlLUxvY2tlZCBMb29wIChBTFRFUkFfUExMKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::VW5rbm93bg==::ZGV2aWNl"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RldmljZV9zcGVlZF9ncmFkZQ==::OA==::RGV2aWNlIFNwZWVkIEdyYWRl"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::SW50ZWdlci1OIFBMTA==::UExMIE1vZGU="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::ZmFsc2U=::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NTAuMA==::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NTAuMCBNSHo=::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::bm9ybWFs::T3BlcmF0aW9uIE1vZGU="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::bm9ybWFs::b3BlcmF0aW9uX21vZGU="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::MQ==::TnVtYmVyIE9mIENsb2Nrcw=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::MQ==::bnVtYmVyX29mX2Nsb2Nrcw=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::NTYuNzUwMzI=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::MjI3::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::MjAw::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::NTYuNzUwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MCBwcw==::cGhhc2Vfc2hpZnQx"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::MCBwcw==::cGhhc2Vfc2hpZnQz"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T2Zm::UExMIEF1dG8gUmVzZXQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::MTE0LDExMyw1LDUsZmFsc2UsZmFsc2UsdHJ1ZSxmYWxzZSwxMCwxMCwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSwxLDIwLDE0MDAwLDExMzUuMCBNSHosMSxnY2xrLGdsYixmYl8xLHBoX211eF9jbGssZmFsc2U=::UGFyYW1ldGVyIFZhbHVlcw=="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw="
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_COMPONENT_PARAMETER "QVVUT19SRUZDTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF"
|
||
|
||
set_global_assignment -library "pll_pal" -name VHDL_FILE [file join $::quartus(qip_path) "pll_pal.vhd"]
|
||
set_global_assignment -library "pll_pal" -name VERILOG_FILE [file join $::quartus(qip_path) "pll_pal/pll_pal_0002.v"]
|
||
set_global_assignment -library "pll_pal" -name QIP_FILE [file join $::quartus(qip_path) "pll_pal/pll_pal_0002.qip"]
|
||
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_TOOL_NAME "altera_pll"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_TOOL_VERSION "14.0"
|
||
set_global_assignment -entity "pll_pal_0002" -library "pll_pal" -name IP_TOOL_ENV "mwpim"
|
sockit/pll_pal.spd | ||
---|---|---|
<?xml version="1.0" encoding="UTF-8"?>
|
||
<simPackage>
|
||
<file path="pll_pal_sim/pll_pal.vho" type="VHDL" />
|
||
<topLevel name="pll_pal" />
|
||
<deviceFamily name="cyclonev" />
|
||
</simPackage>
|
sockit/pll_pal_sim/aldec/rivierapro_setup.tcl | ||
---|---|---|
|
||
# (C) 2001-2014 Altera Corporation. All rights reserved.
|
||
# Your use of Altera Corporation's design tools, logic functions and
|
||
# other software and tools, and its AMPP partner logic functions, and
|
||
# any output files any of the foregoing (including device programming
|
||
# or simulation files), and any associated documentation or information
|
||
# are expressly subject to the terms and conditions of the Altera
|
||
# Program License Subscription Agreement, Altera MegaCore Function
|
||
# License Agreement, or other applicable license agreement, including,
|
||
# without limitation, that your use is for the sole purpose of
|
||
# programming logic devices manufactured by Altera and sold by Altera
|
||
# or its authorized distributors. Please refer to the applicable
|
||
# agreement for further details.
|
||
|
||
# ACDS 14.0 200 linux 2014.12.14.13:40:56
|
||
|
||
# ----------------------------------------
|
||
# Auto-generated simulation script
|
||
|
||
# ----------------------------------------
|
||
# Initialize variables
|
||
if ![info exists SYSTEM_INSTANCE_NAME] {
|
||
set SYSTEM_INSTANCE_NAME ""
|
||
} elseif { ![ string match "" $SYSTEM_INSTANCE_NAME ] } {
|
||
set SYSTEM_INSTANCE_NAME "/$SYSTEM_INSTANCE_NAME"
|
||
}
|
||
|
||
if ![info exists TOP_LEVEL_NAME] {
|
||
set TOP_LEVEL_NAME "pll_pal"
|
||
}
|
||
|
||
if ![info exists QSYS_SIMDIR] {
|
||
set QSYS_SIMDIR "./../"
|
||
}
|
||
|
||
if ![info exists QUARTUS_INSTALL_DIR] {
|
||
set QUARTUS_INSTALL_DIR "/home/markw/fpga/altera/14.0/quartus/"
|
||
}
|
||
|
||
# ----------------------------------------
|
||
# Initialize simulation properties - DO NOT MODIFY!
|
||
set ELAB_OPTIONS ""
|
||
set SIM_OPTIONS ""
|
||
if ![ string match "*-64 vsim*" [ vsim -version ] ] {
|
||
} else {
|
||
}
|
||
|
||
set Aldec "Riviera"
|
||
if { [ string match "*Active-HDL*" [ vsim -version ] ] } {
|
||
set Aldec "Active"
|
||
}
|
||
|
||
if { [ string match "Active" $Aldec ] } {
|
||
scripterconf -tcl
|
||
createdesign "$TOP_LEVEL_NAME" "."
|
||
opendesign "$TOP_LEVEL_NAME"
|
||
}
|
||
|
||
# ----------------------------------------
|
||
# Copy ROM/RAM files to simulation directory
|
||
alias file_copy {
|
||
echo "\[exec\] file_copy"
|
||
}
|
||
|
||
# ----------------------------------------
|
||
# Create compilation libraries
|
||
proc ensure_lib { lib } { if ![file isdirectory $lib] { vlib $lib } }
|
||
ensure_lib ./libraries
|
||
ensure_lib ./libraries/work
|
||
vmap work ./libraries/work
|
||
ensure_lib ./libraries/altera
|
||
vmap altera ./libraries/altera
|
||
ensure_lib ./libraries/lpm
|
||
vmap lpm ./libraries/lpm
|
||
ensure_lib ./libraries/sgate
|
||
vmap sgate ./libraries/sgate
|
||
ensure_lib ./libraries/altera_mf
|
||
vmap altera_mf ./libraries/altera_mf
|
||
ensure_lib ./libraries/altera_lnsim
|
||
vmap altera_lnsim ./libraries/altera_lnsim
|
||
ensure_lib ./libraries/cyclonev
|
||
vmap cyclonev ./libraries/cyclonev
|
||
|
||
|
||
# ----------------------------------------
|
||
# Compile device library files
|
||
alias dev_com {
|
||
echo "\[exec\] dev_com"
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_syn_attributes.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_standard_functions.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/alt_dspbuilder_package.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_europa_support_lib.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives_components.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/220pack.vhd" -work lpm
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/220model.vhd" -work lpm
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate_pack.vhd" -work sgate
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate.vhd" -work sgate
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf_components.vhd" -work altera_mf
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf.vhd" -work altera_mf
|
||
vlog "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim.sv" -work altera_lnsim
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim_components.vhd" -work altera_lnsim
|
||
vlog "$QUARTUS_INSTALL_DIR/eda/sim_lib/aldec/cyclonev_atoms_ncrypt.v" -work cyclonev
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_atoms.vhd" -work cyclonev
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_components.vhd" -work cyclonev
|
||
}
|
||
|
||
# ----------------------------------------
|
||
# Compile the design files in correct order
|
||
alias com {
|
||
echo "\[exec\] com"
|
||
vcom "$QSYS_SIMDIR/pll_pal.vho"
|
||
}
|
||
|
||
# ----------------------------------------
|
||
# Elaborate top level design
|
||
alias elab {
|
||
echo "\[exec\] elab"
|
||
eval vsim +access +r -t ps $ELAB_OPTIONS -L work -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L cyclonev $TOP_LEVEL_NAME
|
||
}
|
||
|
||
# ----------------------------------------
|
||
# Elaborate the top level design with -dbg -O2 option
|
||
alias elab_debug {
|
||
echo "\[exec\] elab_debug"
|
||
eval vsim -dbg -O2 +access +r -t ps $ELAB_OPTIONS -L work -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L cyclonev $TOP_LEVEL_NAME
|
||
}
|
||
|
||
# ----------------------------------------
|
||
# Compile all the design files and elaborate the top level design
|
||
alias ld "
|
||
dev_com
|
||
com
|
||
elab
|
||
"
|
||
|
||
# ----------------------------------------
|
||
# Compile all the design files and elaborate the top level design with -dbg -O2
|
||
alias ld_debug "
|
||
dev_com
|
||
com
|
||
elab_debug
|
||
"
|
||
|
||
# ----------------------------------------
|
||
# Print out user commmand line aliases
|
||
alias h {
|
||
echo "List Of Command Line Aliases"
|
||
echo
|
||
echo "file_copy -- Copy ROM/RAM files to simulation directory"
|
||
echo
|
||
echo "dev_com -- Compile device library files"
|
||
echo
|
||
echo "com -- Compile the design files in correct order"
|
||
echo
|
||
echo "elab -- Elaborate top level design"
|
||
echo
|
||
echo "elab_debug -- Elaborate the top level design with -dbg -O2 option"
|
||
echo
|
||
echo "ld -- Compile all the design files and elaborate the top level design"
|
||
echo
|
||
echo "ld_debug -- Compile all the design files and elaborate the top level design with -dbg -O2"
|
||
echo
|
||
echo
|
||
echo
|
||
echo "List Of Variables"
|
||
echo
|
||
echo "TOP_LEVEL_NAME -- Top level module name."
|
||
echo
|
||
echo "SYSTEM_INSTANCE_NAME -- Instantiated system module name inside top level module."
|
||
echo
|
||
echo "QSYS_SIMDIR -- Qsys base simulation directory."
|
||
echo
|
||
echo "QUARTUS_INSTALL_DIR -- Quartus installation directory."
|
||
}
|
||
file_copy
|
||
h
|
sockit/pll_pal_sim/cadence/hdl.var | ||
---|---|---|
|
||
DEFINE WORK work
|
sockit/pll_pal_sim/pll_pal.vho | ||
---|---|---|
--IP Functional Simulation Model
|
||
--VERSION_BEGIN 14.0 cbx_mgl 2014:06:05:10:17:12:SJ cbx_simgen 2014:06:05:09:45:41:SJ VERSION_END
|
||
|
||
|
||
-- Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
|
||
-- Your use of Altera Corporation's design tools, logic functions
|
||
-- and other software and tools, and its AMPP partner logic
|
||
-- functions, and any output files from any of the foregoing
|
||
-- (including device programming or simulation files), and any
|
||
-- associated documentation or information are expressly subject
|
||
-- to the terms and conditions of the Altera Program License
|
||
-- Subscription Agreement, the Altera Quartus II License Agreement,
|
||
-- the Altera MegaCore Function License Agreement, or other
|
||
-- applicable license agreement, including, without limitation,
|
||
-- that your use is for the sole purpose of programming logic
|
||
-- devices manufactured by Altera and sold by Altera or its
|
||
-- authorized distributors. Please refer to the applicable
|
||
-- agreement for further details.
|
||
|
||
-- You may only use these simulation model output files for simulation
|
||
-- purposes and expressly not for synthesis or any other purposes (in which
|
||
-- event Altera disclaims all warranties of any kind).
|
||
|
||
|
||
--synopsys translate_off
|
||
|
||
LIBRARY altera_lnsim;
|
||
USE altera_lnsim.altera_lnsim_components.all;
|
||
|
||
--synthesis_resources = altera_pll 1
|
||
LIBRARY ieee;
|
||
USE ieee.std_logic_1164.all;
|
||
|
||
ENTITY pll_pal IS
|
||
PORT
|
||
(
|
||
locked : OUT STD_LOGIC;
|
||
outclk_0 : OUT STD_LOGIC;
|
||
refclk : IN STD_LOGIC;
|
||
rst : IN STD_LOGIC
|
||
);
|
||
END pll_pal;
|
||
|
||
ARCHITECTURE RTL OF pll_pal IS
|
||
|
||
ATTRIBUTE synthesis_clearbox : natural;
|
||
ATTRIBUTE synthesis_clearbox OF RTL : ARCHITECTURE IS 1;
|
||
SIGNAL wire_gnd : STD_LOGIC;
|
||
SIGNAL wire_pll_pal_altera_pll_altera_pll_i_639_locked : STD_LOGIC;
|
||
SIGNAL wire_pll_pal_altera_pll_altera_pll_i_639_outclk : STD_LOGIC_VECTOR (0 DOWNTO 0);
|
||
BEGIN
|
||
|
||
wire_gnd <= '0';
|
||
locked <= wire_pll_pal_altera_pll_altera_pll_i_639_locked;
|
||
outclk_0 <= wire_pll_pal_altera_pll_altera_pll_i_639_outclk(0);
|
||
pll_pal_altera_pll_altera_pll_i_639 : altera_pll
|
||
GENERIC MAP (
|
||
c_cnt_bypass_en0 => "false",
|
||
c_cnt_bypass_en1 => "false",
|
||
c_cnt_bypass_en10 => "false",
|
||
c_cnt_bypass_en11 => "false",
|
||
c_cnt_bypass_en12 => "false",
|
||
c_cnt_bypass_en13 => "false",
|
||
c_cnt_bypass_en14 => "false",
|
||
c_cnt_bypass_en15 => "false",
|
||
c_cnt_bypass_en16 => "false",
|
||
c_cnt_bypass_en17 => "false",
|
||
c_cnt_bypass_en2 => "false",
|
||
c_cnt_bypass_en3 => "false",
|
||
c_cnt_bypass_en4 => "false",
|
||
c_cnt_bypass_en5 => "false",
|
||
c_cnt_bypass_en6 => "false",
|
||
c_cnt_bypass_en7 => "false",
|
||
c_cnt_bypass_en8 => "false",
|
||
c_cnt_bypass_en9 => "false",
|
||
c_cnt_hi_div0 => 1,
|
||
c_cnt_hi_div1 => 1,
|
||
c_cnt_hi_div10 => 1,
|
||
c_cnt_hi_div11 => 1,
|
||
c_cnt_hi_div12 => 1,
|
||
c_cnt_hi_div13 => 1,
|
||
c_cnt_hi_div14 => 1,
|
||
c_cnt_hi_div15 => 1,
|
||
c_cnt_hi_div16 => 1,
|
||
c_cnt_hi_div17 => 1,
|
||
c_cnt_hi_div2 => 1,
|
||
c_cnt_hi_div3 => 1,
|
||
c_cnt_hi_div4 => 1,
|
||
c_cnt_hi_div5 => 1,
|
||
c_cnt_hi_div6 => 1,
|
||
c_cnt_hi_div7 => 1,
|
||
c_cnt_hi_div8 => 1,
|
||
c_cnt_hi_div9 => 1,
|
||
c_cnt_in_src0 => "ph_mux_clk",
|
||
c_cnt_in_src1 => "ph_mux_clk",
|
||
c_cnt_in_src10 => "ph_mux_clk",
|
||
c_cnt_in_src11 => "ph_mux_clk",
|
||
c_cnt_in_src12 => "ph_mux_clk",
|
||
c_cnt_in_src13 => "ph_mux_clk",
|
||
c_cnt_in_src14 => "ph_mux_clk",
|
||
c_cnt_in_src15 => "ph_mux_clk",
|
||
c_cnt_in_src16 => "ph_mux_clk",
|
||
c_cnt_in_src17 => "ph_mux_clk",
|
||
c_cnt_in_src2 => "ph_mux_clk",
|
||
c_cnt_in_src3 => "ph_mux_clk",
|
||
c_cnt_in_src4 => "ph_mux_clk",
|
||
c_cnt_in_src5 => "ph_mux_clk",
|
||
c_cnt_in_src6 => "ph_mux_clk",
|
||
c_cnt_in_src7 => "ph_mux_clk",
|
||
c_cnt_in_src8 => "ph_mux_clk",
|
||
c_cnt_in_src9 => "ph_mux_clk",
|
||
c_cnt_lo_div0 => 1,
|
||
c_cnt_lo_div1 => 1,
|
||
c_cnt_lo_div10 => 1,
|
||
c_cnt_lo_div11 => 1,
|
||
c_cnt_lo_div12 => 1,
|
||
c_cnt_lo_div13 => 1,
|
||
c_cnt_lo_div14 => 1,
|
||
c_cnt_lo_div15 => 1,
|
||
c_cnt_lo_div16 => 1,
|
||
c_cnt_lo_div17 => 1,
|
||
c_cnt_lo_div2 => 1,
|
||
c_cnt_lo_div3 => 1,
|
||
c_cnt_lo_div4 => 1,
|
||
c_cnt_lo_div5 => 1,
|
||
c_cnt_lo_div6 => 1,
|
||
c_cnt_lo_div7 => 1,
|
||
c_cnt_lo_div8 => 1,
|
||
c_cnt_lo_div9 => 1,
|
||
c_cnt_odd_div_duty_en0 => "false",
|
||
c_cnt_odd_div_duty_en1 => "false",
|
||
c_cnt_odd_div_duty_en10 => "false",
|
||
c_cnt_odd_div_duty_en11 => "false",
|
||
c_cnt_odd_div_duty_en12 => "false",
|
||
c_cnt_odd_div_duty_en13 => "false",
|
||
c_cnt_odd_div_duty_en14 => "false",
|
||
c_cnt_odd_div_duty_en15 => "false",
|
||
c_cnt_odd_div_duty_en16 => "false",
|
||
c_cnt_odd_div_duty_en17 => "false",
|
||
c_cnt_odd_div_duty_en2 => "false",
|
||
c_cnt_odd_div_duty_en3 => "false",
|
||
c_cnt_odd_div_duty_en4 => "false",
|
||
c_cnt_odd_div_duty_en5 => "false",
|
||
c_cnt_odd_div_duty_en6 => "false",
|
||
c_cnt_odd_div_duty_en7 => "false",
|
||
c_cnt_odd_div_duty_en8 => "false",
|
||
c_cnt_odd_div_duty_en9 => "false",
|
||
c_cnt_ph_mux_prst0 => 0,
|
||
c_cnt_ph_mux_prst1 => 0,
|
||
c_cnt_ph_mux_prst10 => 0,
|
||
c_cnt_ph_mux_prst11 => 0,
|
||
c_cnt_ph_mux_prst12 => 0,
|
||
c_cnt_ph_mux_prst13 => 0,
|
||
c_cnt_ph_mux_prst14 => 0,
|
||
c_cnt_ph_mux_prst15 => 0,
|
||
c_cnt_ph_mux_prst16 => 0,
|
||
c_cnt_ph_mux_prst17 => 0,
|
||
c_cnt_ph_mux_prst2 => 0,
|
||
c_cnt_ph_mux_prst3 => 0,
|
||
c_cnt_ph_mux_prst4 => 0,
|
||
c_cnt_ph_mux_prst5 => 0,
|
||
c_cnt_ph_mux_prst6 => 0,
|
||
c_cnt_ph_mux_prst7 => 0,
|
||
c_cnt_ph_mux_prst8 => 0,
|
||
c_cnt_ph_mux_prst9 => 0,
|
||
c_cnt_prst0 => 1,
|
||
c_cnt_prst1 => 1,
|
||
c_cnt_prst10 => 1,
|
||
c_cnt_prst11 => 1,
|
||
c_cnt_prst12 => 1,
|
||
c_cnt_prst13 => 1,
|
||
c_cnt_prst14 => 1,
|
||
c_cnt_prst15 => 1,
|
||
c_cnt_prst16 => 1,
|
||
c_cnt_prst17 => 1,
|
||
c_cnt_prst2 => 1,
|
||
c_cnt_prst3 => 1,
|
||
c_cnt_prst4 => 1,
|
||
c_cnt_prst5 => 1,
|
||
c_cnt_prst6 => 1,
|
||
c_cnt_prst7 => 1,
|
||
c_cnt_prst8 => 1,
|
||
c_cnt_prst9 => 1,
|
||
clock_name_0 => "UNUSED",
|
||
clock_name_1 => "UNUSED",
|
||
clock_name_2 => "UNUSED",
|
||
clock_name_3 => "UNUSED",
|
||
clock_name_4 => "UNUSED",
|
||
clock_name_5 => "UNUSED",
|
||
clock_name_6 => "UNUSED",
|
||
clock_name_7 => "UNUSED",
|
||
clock_name_8 => "UNUSED",
|
||
clock_name_global_0 => "false",
|
||
clock_name_global_1 => "false",
|
||
clock_name_global_2 => "false",
|
||
clock_name_global_3 => "false",
|
||
clock_name_global_4 => "false",
|
||
clock_name_global_5 => "false",
|
||
clock_name_global_6 => "false",
|
||
clock_name_global_7 => "false",
|
||
clock_name_global_8 => "false",
|
||
data_rate => 0,
|
||
deserialization_factor => 4,
|
||
duty_cycle0 => 50,
|
||
duty_cycle1 => 50,
|
||
duty_cycle10 => 50,
|
||
duty_cycle11 => 50,
|
||
duty_cycle12 => 50,
|
||
duty_cycle13 => 50,
|
||
duty_cycle14 => 50,
|
||
duty_cycle15 => 50,
|
||
duty_cycle16 => 50,
|
||
duty_cycle17 => 50,
|
||
duty_cycle2 => 50,
|
||
duty_cycle3 => 50,
|
||
duty_cycle4 => 50,
|
||
duty_cycle5 => 50,
|
||
duty_cycle6 => 50,
|
||
duty_cycle7 => 50,
|
||
duty_cycle8 => 50,
|
||
duty_cycle9 => 50,
|
||
fractional_vco_multiplier => "false",
|
||
m_cnt_bypass_en => "false",
|
||
m_cnt_hi_div => 1,
|
||
m_cnt_lo_div => 1,
|
||
m_cnt_odd_div_duty_en => "false",
|
||
mimic_fbclk_type => "gclk",
|
||
n_cnt_bypass_en => "false",
|
||
n_cnt_hi_div => 1,
|
||
n_cnt_lo_div => 1,
|
||
n_cnt_odd_div_duty_en => "false",
|
||
number_of_clocks => 1,
|
||
operation_mode => "normal",
|
||
output_clock_frequency0 => "56.750000 MHz",
|
||
output_clock_frequency1 => "0 MHz",
|
||
output_clock_frequency10 => "0 MHz",
|
||
output_clock_frequency11 => "0 MHz",
|
||
output_clock_frequency12 => "0 MHz",
|
||
output_clock_frequency13 => "0 MHz",
|
||
output_clock_frequency14 => "0 MHz",
|
||
output_clock_frequency15 => "0 MHz",
|
||
output_clock_frequency16 => "0 MHz",
|
||
output_clock_frequency17 => "0 MHz",
|
||
output_clock_frequency2 => "0 MHz",
|
||
output_clock_frequency3 => "0 MHz",
|
||
output_clock_frequency4 => "0 MHz",
|
||
output_clock_frequency5 => "0 MHz",
|
||
output_clock_frequency6 => "0 MHz",
|
||
output_clock_frequency7 => "0 MHz",
|
||
output_clock_frequency8 => "0 MHz",
|
||
output_clock_frequency9 => "0 MHz",
|
||
phase_shift0 => "0 ps",
|
||
phase_shift1 => "0 ps",
|
||
phase_shift10 => "0 ps",
|
||
phase_shift11 => "0 ps",
|
||
phase_shift12 => "0 ps",
|
||
phase_shift13 => "0 ps",
|
||
phase_shift14 => "0 ps",
|
||
phase_shift15 => "0 ps",
|
||
phase_shift16 => "0 ps",
|
||
phase_shift17 => "0 ps",
|
||
phase_shift2 => "0 ps",
|
||
phase_shift3 => "0 ps",
|
||
phase_shift4 => "0 ps",
|
||
phase_shift5 => "0 ps",
|
||
phase_shift6 => "0 ps",
|
||
phase_shift7 => "0 ps",
|
||
phase_shift8 => "0 ps",
|
||
phase_shift9 => "0 ps",
|
||
pll_auto_clk_sw_en => "false",
|
||
pll_bwctrl => 0,
|
||
pll_clk_loss_sw_en => "false",
|
||
pll_clk_sw_dly => 0,
|
||
pll_clkin_0_src => "clk_0",
|
||
pll_clkin_1_src => "clk_0",
|
||
pll_cp_current => 0,
|
||
pll_dsm_out_sel => "1st_order",
|
||
pll_extclk_0_cnt_src => "pll_extclk_cnt_src_vss",
|
||
pll_extclk_1_cnt_src => "pll_extclk_cnt_src_vss",
|
||
pll_fbclk_mux_1 => "glb",
|
||
pll_fbclk_mux_2 => "fb_1",
|
||
pll_fractional_cout => 24,
|
||
pll_fractional_division => 1,
|
||
pll_m_cnt_in_src => "ph_mux_clk",
|
||
pll_manu_clk_sw_en => "false",
|
||
pll_output_clk_frequency => "0 MHz",
|
||
pll_slf_rst => "false",
|
||
pll_subtype => "General",
|
||
pll_type => "General",
|
||
pll_vco_div => 1,
|
||
pll_vcoph_div => 1,
|
||
refclk1_frequency => "0 MHz",
|
||
reference_clock_frequency => "50.0 MHz",
|
||
sim_additional_refclk_cycles_to_lock => 0
|
||
)
|
||
PORT MAP (
|
||
fbclk => wire_gnd,
|
||
locked => wire_pll_pal_altera_pll_altera_pll_i_639_locked,
|
||
outclk => wire_pll_pal_altera_pll_altera_pll_i_639_outclk,
|
||
refclk => refclk,
|
||
rst => rst
|
||
);
|
||
|
||
END RTL; --pll_pal
|
||
--synopsys translate_on
|
||
--VALID FILE
|
aeon_lite/Aeon.prj | ||
---|---|---|
covox.vhd
|
||
ps2_keyboard.vhdl
|
||
ps2_to_atari800.vhdl
|
||
pot_from_signed.vhdl
|
||
atari800core.vhd
|
||
atari800core_simple_sdram.vhd
|
||
dac.vhd
|
||
... | ... | |
scandouble_ram_infer.vhdl
|
||
scandoubler.vhdl
|
||
spi_master.vhd
|
||
sio_device.vhdl
|
||
zpupkg.vhd
|
||
zpu_config_regs.vhdl
|
||
zpu_glue.vhdl
|
aeon_lite/Aeon.scr | ||
---|---|---|
-ifmt VHDL
|
||
-ofn Aeon.ngc
|
||
-ofmt NGC
|
||
-p xc6slx9
|
||
-p xc6slx9-tqg144
|
||
-opt_mode Area
|
||
-opt_level 1
|
||
-keep_hierarchy yes
|
aeon_lite/Aeon.ucf | ||
---|---|---|
#NET "COMM_RDY" LOC = P39 | IOSTANDARD = LVTTL;
|
||
|
||
# SD-Card & SPI-Flash
|
||
NET "SD_MOSI" LOC = P41 | IOSTANDARD = LVTTL;
|
||
NET "SD_MISO" LOC = P38 | IOSTANDARD = LVTTL;
|
||
NET "SD_SCK" LOC = P40 | IOSTANDARD = LVTTL;
|
||
NET "SD_CS" LOC = P44 | IOSTANDARD = LVTTL;
|
aeon_lite/atari.vhd | ||
---|---|---|
JOY_DATA0 : in std_logic;
|
||
JOY_DATA1 : in std_logic;
|
||
|
||
SD_MOSI : out std_logic;
|
||
SD_MISO : in std_logic;
|
||
SD_SCK : out std_logic;
|
||
SD_CS : out std_logic;
|
||
... | ... | |
|
||
ZPU_SD_DAT0 => SD_MISO,
|
||
ZPU_SD_CLK => SD_SCK,
|
||
ZPU_SD_CMD => SD_MOSI,
|
||
ZPU_SD_CMD => open,
|
||
ZPU_SD_DAT3 => SD_CS,
|
||
|
||
ZPU_POKEY_ENABLE => ZPU_POKEY_ENABLE,
|
common/antic.wcfg | ||
---|---|---|
</top_modules>
|
||
</db_ref>
|
||
</db_ref_list>
|
||
<WVObjectSize size="36" />
|
||
<WVObjectSize size="48" />
|
||
<wave_markers>
|
||
<marker time="517213670600" label="" />
|
||
</wave_markers>
|
||
... | ... | |
<obj_property name="ElementShortName">data_in</obj_property>
|
||
<obj_property name="ObjectShortName">data_in</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/dma_fetch_reg" type="logic" db_ref_id="1">
|
||
<obj_property name="ElementShortName">dma_fetch_reg</obj_property>
|
||
<obj_property name="ObjectShortName">dma_fetch_reg</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/dma_cache_ready_reg" type="logic" db_ref_id="1">
|
||
<obj_property name="ElementShortName">dma_cache_ready_reg</obj_property>
|
||
<obj_property name="ObjectShortName">dma_cache_ready_reg</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/load_display_shift_from_memory" type="logic" db_ref_id="1">
|
||
<obj_property name="ElementShortName">load_display_shift_from_memory</obj_property>
|
||
<obj_property name="ObjectShortName">load_display_shift_from_memory</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/dma_cache_next" type="array" db_ref_id="1">
|
||
<obj_property name="ElementShortName">dma_cache_next[7:0]</obj_property>
|
||
<obj_property name="ObjectShortName">dma_cache_next[7:0]</obj_property>
|
||
<obj_property name="Radix">HEXRADIX</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/dma_cache_reg" type="array" db_ref_id="1">
|
||
<obj_property name="ElementShortName">dma_cache_reg[7:0]</obj_property>
|
||
<obj_property name="ObjectShortName">dma_cache_reg[7:0]</obj_property>
|
||
<obj_property name="Radix">HEXRADIX</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/dma_fetch_destination_reg" type="array" db_ref_id="1">
|
||
<obj_property name="ElementShortName">dma_fetch_destination_reg[2:0]</obj_property>
|
||
<obj_property name="ObjectShortName">dma_fetch_destination_reg[2:0]</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/dma_fetch_destination_next" type="array" db_ref_id="1">
|
||
<obj_property name="ElementShortName">dma_fetch_destination_next[2:0]</obj_property>
|
||
<obj_property name="ObjectShortName">dma_fetch_destination_next[2:0]</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/antic_dma_clock1/playfield_start" type="logic" db_ref_id="1">
|
||
<obj_property name="ElementShortName">playfield_start</obj_property>
|
||
<obj_property name="ObjectShortName">playfield_start</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/antic_dma_clock1/dma_shiftreg_reg" type="array" db_ref_id="1">
|
||
<obj_property name="ElementShortName">dma_shiftreg_reg[7:0]</obj_property>
|
||
<obj_property name="ObjectShortName">dma_shiftreg_reg[7:0]</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/antic_dma_clock1/enable_dma" type="logic" db_ref_id="1">
|
||
<obj_property name="ElementShortName">enable_dma</obj_property>
|
||
<obj_property name="ObjectShortName">enable_dma</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/playfield_dma_start_shiftreg_next" type="array" db_ref_id="1">
|
||
<obj_property name="ElementShortName">playfield_dma_start_shiftreg_next[4:0]</obj_property>
|
||
<obj_property name="ObjectShortName">playfield_dma_start_shiftreg_next[4:0]</obj_property>
|
||
</wvobject>
|
||
<wvobject fp_name="/antic_tb/antic1/playfield_dma_start_shiftreg_reg" type="array" db_ref_id="1">
|
||
<obj_property name="ElementShortName">playfield_dma_start_shiftreg_reg[4:0]</obj_property>
|
||
<obj_property name="ObjectShortName">playfield_dma_start_shiftreg_reg[4:0]</obj_property>
|
||
</wvobject>
|
||
</wave_config>
|
common/tb_antic/antic_tb.vhd | ||
---|---|---|
wait until cpu_shared_enable = '1';
|
||
cpu_wr_en <= '1';
|
||
cpu_addr <= x"d400";
|
||
cpu_data_in <= x"22";
|
||
cpu_data_in <= x"62";
|
||
wait until cpu_shared_enable = '0';
|
||
cpu_wr_en <= '0';
|
||
|
||
... | ... | |
-- fetch_data(15):= x"a5"; -- char6 data
|
||
-- fetch_data(16):= x"12"; -- char8
|
||
|
||
fetch_data(0) := x"48";
|
||
fetch_data(0) := x"42";
|
||
fetch_data(1) := x"00";
|
||
fetch_data(2) := x"07";
|
||
fetch_data(3) := x"ff"; -- char1
|
mcc216_5200/build.sh | ||
---|---|---|
print "Building $variant\n";
|
||
|
||
my $dir = "build_$variant";
|
||
`rm -rf $dir`;
|
||
mkdir $dir;
|
||
`cp atari5200core_mcc.vhd $dir`;
|
||
`cp *pll*.* $dir`;
|
||
`cp sdram_ctrl_3_ports.v $dir`;
|
||
`cp zpu_rom.vhdl $dir`;
|
||
`cp atari5200core.sdc $dir`;
|
||
`mkdir $dir/common`;
|
||
`mkdir $dir/common/a8core`;
|
||
`mkdir $dir/common/components`;
|
||
`mkdir $dir/common/zpu`;
|
||
`mkdir $dir/svideo`;
|
||
`cp ../common/a8core/* ./$dir/common/a8core`;
|
||
`cp ../common/components/* ./$dir/common/components`;
|
||
mkdir "./$dir/common/components/usbhostslave";
|
||
`cp ../common/components/usbhostslave/trunk/RTL/*/*.v ./$dir/common/components/usbhostslave`;
|
||
`cp ../common/zpu/* ./$dir/common/zpu`;
|
||
`cp ./svideo/* ./$dir/svideo`;
|
||
# `rm -rf $dir`;
|
||
# mkdir $dir;
|
||
# `cp atari5200core_mcc.vhd $dir`;
|
||
# `cp *pll*.* $dir`;
|
||
# `cp sdram_ctrl_3_ports.v $dir`;
|
||
# `cp zpu_rom.vhdl $dir`;
|
||
# `cp atari5200core.sdc $dir`;
|
||
# `mkdir $dir/common`;
|
||
# `mkdir $dir/common/a8core`;
|
||
# `mkdir $dir/common/components`;
|
||
# `mkdir $dir/common/zpu`;
|
||
# `mkdir $dir/svideo`;
|
||
# `cp ../common/a8core/* ./$dir/common/a8core`;
|
||
# `cp ../common/components/* ./$dir/common/components`;
|
||
# mkdir "./$dir/common/components/usbhostslave";
|
||
# `cp ../common/components/usbhostslave/trunk/RTL/*/*.v ./$dir/common/components/usbhostslave`;
|
||
# `cp ../common/zpu/* ./$dir/common/zpu`;
|
||
# `cp ./svideo/* ./$dir/svideo`;
|
||
|
||
chdir $dir;
|
||
`../makeqsf ../atari5200core.qsf ./svideo ./common/a8core ./common/components ./common/zpu ./common/components/usbhostslave`;
|
||
# `../makeqsf ../atari5200core.qsf ./svideo ./common/a8core ./common/components ./common/zpu ./common/components/usbhostslave`;
|
||
|
||
foreach my $key (sort keys %{$variants{$variant}})
|
||
{
|
||
... | ... | |
`echo set_parameter -name $key $val >> atari5200core.qsf`;
|
||
}
|
||
|
||
`quartus_sh --flow compile atari5200core > build.log 2> build.err`;
|
||
# `quartus_sh --flow compile atari5200core > build.log 2> build.err`;
|
||
|
||
`quartus_cpf --convert ../output_file.cof`;
|
||
my $vga = 1;
|
mcc216_5200/output_file.cof | ||
---|---|---|
<?xml version="1.0" encoding="US-ASCII" standalone="yes"?>
|
||
<cof>
|
||
<eprom_name>CFI_128Mb</eprom_name>
|
||
<output_filename>output_files/atari800core.rbf</output_filename>
|
||
<output_filename>output_files/atari5200core.rbf</output_filename>
|
||
<n_pages>1</n_pages>
|
||
<width>1</width>
|
||
<mode>0</mode>
|
||
... | ... | |
<user_name>Page_0</user_name>
|
||
<page_flags>1</page_flags>
|
||
<bit0>
|
||
<sof_filename>output_files/atari800core.sof<compress_bitstream>1</compress_bitstream></sof_filename>
|
||
<sof_filename>output_files/atari5200core.sof<compress_bitstream>1</compress_bitstream></sof_filename>
|
||
</bit0>
|
||
</sof_data>
|
||
<version>5</version>
|
sockit/altiobuf.cmp | ||
---|---|---|
--Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
|
||
--Your use of Altera Corporation's design tools, logic functions
|
||
--and other software and tools, and its AMPP partner logic
|
||
--functions, and any output files from any of the foregoing
|
||
--(including device programming or simulation files), and any
|
||
--associated documentation or information are expressly subject
|
||
--to the terms and conditions of the Altera Program License
|
||
--Subscription Agreement, the Altera Quartus II License Agreement,
|
||
--the Altera MegaCore Function License Agreement, or other
|
||
--applicable license agreement, including, without limitation,
|
||
--that your use is for the sole purpose of programming logic
|
||
--devices manufactured by Altera and sold by Altera or its
|
||
--authorized distributors. Please refer to the applicable
|
||
--agreement for further details.
|
||
|
||
|
||
component altiobuf
|
||
PORT
|
||
(
|
||
datain : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
oe : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
oe_b : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
dataio : INOUT STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
dataio_b : INOUT STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
dataout : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
|
||
);
|
||
end component;
|
sockit/altiobuf.qip | ||
---|---|---|
set_global_assignment -name IP_TOOL_NAME "ALTIOBUF"
|
||
set_global_assignment -name IP_TOOL_VERSION "14.0"
|
||
set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}"
|
||
set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "altiobuf.vhd"]
|
||
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altiobuf.cmp"]
|
sockit/altiobuf.vhd | ||
---|---|---|
-- megafunction wizard: %ALTIOBUF%
|
||
-- GENERATION: STANDARD
|
||
-- VERSION: WM1.0
|
||
-- MODULE: altiobuf_bidir
|
||
|
||
-- ============================================================
|
||
-- File Name: altiobuf.vhd
|
||
-- Megafunction Name(s):
|
||
-- altiobuf_bidir
|
||
--
|
||
-- Simulation Library Files(s):
|
||
-- altera_mf
|
||
-- ============================================================
|
||
-- ************************************************************
|
||
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
|
||
--
|
||
-- 14.0.0 Build 200 06/17/2014 SJ Web Edition
|
||
-- ************************************************************
|
||
|
||
|
||
--Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
|
||
--Your use of Altera Corporation's design tools, logic functions
|
||
--and other software and tools, and its AMPP partner logic
|
||
--functions, and any output files from any of the foregoing
|
||
--(including device programming or simulation files), and any
|
||
--associated documentation or information are expressly subject
|
||
--to the terms and conditions of the Altera Program License
|
||
--Subscription Agreement, the Altera Quartus II License Agreement,
|
||
--the Altera MegaCore Function License Agreement, or other
|
||
--applicable license agreement, including, without limitation,
|
||
--that your use is for the sole purpose of programming logic
|
||
--devices manufactured by Altera and sold by Altera or its
|
||
--authorized distributors. Please refer to the applicable
|
||
--agreement for further details.
|
||
|
||
|
||
--altiobuf_bidir CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Cyclone V" ENABLE_BUS_HOLD="FALSE" NUMBER_OF_CHANNELS=4 OPEN_DRAIN_OUTPUT="FALSE" USE_DIFFERENTIAL_MODE="TRUE" USE_DYNAMIC_TERMINATION_CONTROL="FALSE" USE_TERMINATION_CONTROL="FALSE" datain dataio dataio_b dataout oe oe_b
|
||
--VERSION_BEGIN 14.0 cbx_altiobuf_bidir 2014:06:05:09:45:41:SJ cbx_mgl 2014:06:05:10:17:12:SJ cbx_stratixiii 2014:06:05:09:45:41:SJ cbx_stratixv 2014:06:05:09:45:41:SJ VERSION_END
|
||
|
||
LIBRARY cyclonev;
|
||
USE cyclonev.all;
|
||
|
||
--synthesis_resources = cyclonev_io_ibuf 4 cyclonev_io_obuf 8 cyclonev_pseudo_diff_out 4
|
||
LIBRARY ieee;
|
||
USE ieee.std_logic_1164.all;
|
||
|
||
ENTITY altiobuf_iobuf_bidir_lup IS
|
||
PORT
|
||
(
|
||
datain : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
dataio : INOUT STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
dataio_b : INOUT STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
dataout : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
oe : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
oe_b : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '1')
|
||
);
|
||
END altiobuf_iobuf_bidir_lup;
|
||
|
||
ARCHITECTURE RTL OF altiobuf_iobuf_bidir_lup IS
|
||
|
||
SIGNAL wire_ibufa_i : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_ibufa_ibar : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_ibufa_o : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_obuf_ba_o : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_obuf_ba_oe : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_obufa_o : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_obufa_oe : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_pseudo_diffa_w_lg_oebout3w : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_pseudo_diffa_w_lg_oeout2w : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_pseudo_diffa_i : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_pseudo_diffa_o : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_pseudo_diffa_obar : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_pseudo_diffa_oebout : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_pseudo_diffa_oein : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_pseudo_diffa_oeout : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
SIGNAL wire_w_lg_oe1w : STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
COMPONENT cyclonev_io_ibuf
|
||
GENERIC
|
||
(
|
||
bus_hold : STRING := "false";
|
||
differential_mode : STRING := "false";
|
||
simulate_z_as : STRING := "z";
|
||
lpm_type : STRING := "cyclonev_io_ibuf"
|
||
);
|
||
PORT
|
||
(
|
||
dynamicterminationcontrol : IN STD_LOGIC := '0';
|
||
i : IN STD_LOGIC := '0';
|
||
ibar : IN STD_LOGIC := '0';
|
||
o : OUT STD_LOGIC
|
||
);
|
||
END COMPONENT;
|
||
COMPONENT cyclonev_io_obuf
|
||
GENERIC
|
||
(
|
||
bus_hold : STRING := "false";
|
||
open_drain_output : STRING := "false";
|
||
shift_series_termination_control : STRING := "false";
|
||
lpm_type : STRING := "cyclonev_io_obuf"
|
||
);
|
||
PORT
|
||
(
|
||
dynamicterminationcontrol : IN STD_LOGIC := '0';
|
||
i : IN STD_LOGIC := '0';
|
||
o : OUT STD_LOGIC;
|
||
obar : OUT STD_LOGIC;
|
||
oe : IN STD_LOGIC := '1';
|
||
parallelterminationcontrol : IN STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
|
||
seriesterminationcontrol : IN STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0')
|
||
);
|
||
END COMPONENT;
|
||
COMPONENT cyclonev_pseudo_diff_out
|
||
PORT
|
||
(
|
||
dtc : OUT STD_LOGIC;
|
||
dtcbar : OUT STD_LOGIC;
|
||
dtcin : IN STD_LOGIC := '0';
|
||
i : IN STD_LOGIC := '0';
|
||
o : OUT STD_LOGIC;
|
||
obar : OUT STD_LOGIC;
|
||
oebout : OUT STD_LOGIC;
|
||
oein : IN STD_LOGIC := '0';
|
||
oeout : OUT STD_LOGIC
|
||
);
|
||
END COMPONENT;
|
||
BEGIN
|
||
|
||
loop0 : FOR i IN 0 TO 3 GENERATE
|
||
wire_w_lg_oe1w(i) <= NOT oe(i);
|
||
END GENERATE loop0;
|
||
dataio <= wire_obufa_o;
|
||
dataio_b <= wire_obuf_ba_o;
|
||
dataout <= wire_ibufa_o;
|
||
wire_ibufa_i <= dataio;
|
||
wire_ibufa_ibar <= dataio_b;
|
||
loop1 : FOR i IN 0 TO 3 GENERATE
|
||
ibufa : cyclonev_io_ibuf
|
||
GENERIC MAP (
|
||
bus_hold => "false",
|
||
differential_mode => "true"
|
||
)
|
||
PORT MAP (
|
||
i => wire_ibufa_i(i),
|
||
ibar => wire_ibufa_ibar(i),
|
||
o => wire_ibufa_o(i)
|
||
);
|
||
END GENERATE loop1;
|
||
wire_obuf_ba_oe <= wire_pseudo_diffa_w_lg_oebout3w;
|
||
loop2 : FOR i IN 0 TO 3 GENERATE
|
||
obuf_ba : cyclonev_io_obuf
|
||
GENERIC MAP (
|
||
bus_hold => "false",
|
||
open_drain_output => "false"
|
||
)
|
||
PORT MAP (
|
||
i => wire_pseudo_diffa_obar(i),
|
||
o => wire_obuf_ba_o(i),
|
||
oe => wire_obuf_ba_oe(i)
|
||
);
|
||
END GENERATE loop2;
|
||
wire_obufa_oe <= wire_pseudo_diffa_w_lg_oeout2w;
|
||
loop3 : FOR i IN 0 TO 3 GENERATE
|
||
obufa : cyclonev_io_obuf
|
||
GENERIC MAP (
|
||
bus_hold => "false",
|
||
open_drain_output => "false"
|
||
)
|
||
PORT MAP (
|
||
i => wire_pseudo_diffa_o(i),
|
||
o => wire_obufa_o(i),
|
||
oe => wire_obufa_oe(i)
|
||
);
|
||
END GENERATE loop3;
|
||
loop4 : FOR i IN 0 TO 3 GENERATE
|
||
wire_pseudo_diffa_w_lg_oebout3w(i) <= NOT wire_pseudo_diffa_oebout(i);
|
||
END GENERATE loop4;
|
||
loop5 : FOR i IN 0 TO 3 GENERATE
|
||
wire_pseudo_diffa_w_lg_oeout2w(i) <= NOT wire_pseudo_diffa_oeout(i);
|
||
END GENERATE loop5;
|
||
wire_pseudo_diffa_i <= datain;
|
||
wire_pseudo_diffa_oein <= wire_w_lg_oe1w;
|
||
loop6 : FOR i IN 0 TO 3 GENERATE
|
||
pseudo_diffa : cyclonev_pseudo_diff_out
|
||
PORT MAP (
|
||
i => wire_pseudo_diffa_i(i),
|
||
o => wire_pseudo_diffa_o(i),
|
||
obar => wire_pseudo_diffa_obar(i),
|
||
oebout => wire_pseudo_diffa_oebout(i),
|
||
oein => wire_pseudo_diffa_oein(i),
|
||
oeout => wire_pseudo_diffa_oeout(i)
|
||
);
|
||
END GENERATE loop6;
|
||
|
||
END RTL; --altiobuf_iobuf_bidir_lup
|
||
--VALID FILE
|
||
|
||
|
||
LIBRARY ieee;
|
||
USE ieee.std_logic_1164.all;
|
||
|
||
ENTITY altiobuf IS
|
||
PORT
|
||
(
|
||
datain : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
oe : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
oe_b : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
dataio : INOUT STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
dataio_b : INOUT STD_LOGIC_VECTOR (3 DOWNTO 0);
|
||
dataout : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
|
||
);
|
||
END altiobuf;
|
||
|
First sockit build. Not tested, no sound, no ddr3, etc. No zpu, but the idea is to have an avalon mm interface to control the Atari instead from Linux...